Browse "EE-Theses_Master(석사논문) " by Author 신영수

Showing results 28 to 45 of 45

28
Physical synthesis of DNA circuits with spatially localized gates = 로컬 DNA 게이트를 이용한 DNA 회로 합성 기법link

Oh, Jeong-hun; 오정훈; et al, 한국과학기술원, 2015

29
Post-placement power distribution network optimization for higher routability = 배선 가능성 향상을 위한 배치 이후 전력 분배망 최적화link

Park, Jinhyeong; Shin, Youngsoo; et al, 한국과학기술원, 2021

30
Pulse width allocation and clock skew scheduling : Optimizing sequential circuits based on pulsed latches = 펄스 폭 할당 및 클락 스큐 스케쥴링을 이용한 펄스 래치 순차 회로의 최적화 기법link

Lee, Hye-In; 이혜인; et al, 한국과학기술원, 2009

31
Retiming pulsed-latch circuits for high-performance ASIC designs = 고성능 ASIC 디자인을 위한 펄스 래치 회로 리타이밍 기법link

Lee, Seong-Gwan; 이성관; et al, 한국과학기술원, 2010

32
Routability optimization for low aspect ratio design = 낮은 종횡비 설계를 위한 라우팅 가능성 최적화link

Koh, Sunwha; Shin, Youngsoo; et al, 한국과학기술원, 2021

33
Routability-driven power gating switch sizing with fast IR-drop prediction = 고속 전압 강하 예측을 통한 파워게이팅 스위치 크기 결정 및 배선 가능성 향상link

Cho, Insu; 조인수; et al, 한국과학기술원, 2024

34
Signal integrity-aware PCB routing for high-speed DRAM module = 신호 무결성을 고려한 디램 모듈 인쇄회로기판 라우팅link

Yoon, Dongsub; Shin, Youngsoo; et al, 한국과학기술원, 2022

35
Skewed flip-flop transformation for minimizing leakage in sequential circuits = 순차 회로의 누설 전류를 줄이기 위한 비대칭 플립 플롭 변환link

Seomun, Jun; 서문, 준; et al, 한국과학기술원, 2007

36
Statistical mixed $V_t$ allocation of body-biased circuits for reduced leakage variation = 누설전류 변화를 줄이기 위한 바디바이어스 회로의 확률적인 Mixed $V_t$ 적용link

Jeong, Jin-seob; 정진섭; et al, 한국과학기술원, 2008

37
Structured ASIC design methodology using selectively patterned masks = 선택적 부분 패터닝을 이용한 스트럭처드 ASIC 설계 방법link

Baek, Don-Kyu; 백돈규; et al, 한국과학기술원, 2011

38
Structured ASIC design methodology using selectively patterned masks = 선택적 부분 패터닝을 이용한 스트럭처드 ASIC 설계 방법link

Baek, Don-Kyu; 백돈규; et al, 한국과학기술원, 2011

39
Sub-resolution assist feature printability prediction using machine learning = 기계학습을 이용한 해상도 이하 보조형상의 인쇄가능성 예측link

Yang, Jinho; Shin, Youngsoo; et al, 한국과학기술원, 2019

40
Technology mapping for morphed regular architecture = MRA를 위한 테크놀로지 매핑link

Moon, Young-Suk; 문영석; et al, 한국과학기술원, 2009

41
Test pattern clustering for fast and accurate lithography modeling = 빠르고 정확한 리소그래피 모델링을 위한 테스트 패턴 클러스터링link

Cho, Gangmin; Shin, Youngsoo; et al, 한국과학기술원, 2021

42
Thermal Signature: An Accurate and Fast Thermal Model = Thermal Signature: 정확하고 빠른 온도 지표link

Kung, Jae-Ha; 궁재하; et al, 한국과학기술원, 2012

43
Thermal-aware time budgeting for hierarchical VLSI designs = 온도를 고려한 계층적 VLSI 설계의 시간 분배 기법link

Jung, Min-Wook; 정민욱; et al, 한국과학기술원, 2010

44
Timing analysis and optimization of sequential circuits with dual-edge-triggered flip-flops = 듀얼-에지-구동 플립플랍을 이용한 순차 회로의 타이밍 분석과 최적화link

Oh, Chung-Ki; 오충기; et al, 한국과학기술원, 2009

45
Timing yield analysis of sequential circuits considering clock network = 클락 네트워크를 고려한 순차 회로의 타이밍 수율 분석link

Shin, Chang-Sik; 신창식; et al, 한국과학기술원, 2009

Discover

Type

Date issued

. next

Subject

. next

rss_1.0 rss_2.0 atom_1.0