Browse "EE-Journal Papers(저널논문)" by Subject ARCHITECTURE

Showing results 1 to 60 of 87

1
A 1.8-GHz CMOS Power Amplifier Using Stacked nMOS and pMOS Structures for High-Voltage Operation

Son, Ki-Yong; Park, Chang-Kun; Hong, Song-Cheol, IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, v.57, no.11, pp.2652 - 2660, 2009-11

2
A 320 mW 342 GOPS Real-Time Dynamic Object Recognition Processor for HD 720p Video Streams

Oh, Jin-Wook; Kim, Gyeonghoon; Park, Jun-Young; Hong, Injoon; Lee, Seung-Jin; Kim, Joo-Young; Woo, Jeong-Ho; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.48, no.1, pp.33 - 45, 2013-01

3
A 502-GOPS and 0.984-mW Dual-Mode Intelligent ADAS SoC With Real-Time Semiglobal Matching and Intention Prediction for Smart Automotive Black Box System

Lee, Kyuho Jason; Bong, Kyeongryeol; Kim, Changhyeon; Jang, Jaeeun; Lee, Kyoung-Rog; Lee, Jihee; Kim, Gyeonghoon; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.52, no.1, pp.139 - 150, 2017-01

4
A 502-GOPS and 0.984-mW Dual-Mode Intelligent ADAS SoC With Real-Time Semiglobal Matching and Intention Prediction for Smart Automotive Black Box System

Lee, Kyuho Jason; Bong, Kyeongryeol; Kim, Changhyeon; Jang, Jaeeun; Lee, Kyoung-Rog; Lee, Jihee; Kim, Gyeonghoon; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.52, no.1, pp.139 - 150, 2017-01

5
A 57 mW 12.5 mu J/Epoch Embedded Mixed-Mode Neuro-Fuzzy Processor for Mobile Real-Time Object Recognition

Oh, Jinwook; Kim, Gyeonghoon; Nam, Byeong-Gyu; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.48, no.11, pp.2894 - 2907, 2013-11

6
A 9 bit, 1.12 ps Resolution 2.5 b/ Stage Pipelined Time-to-Digital Converter in 65 nm CMOS Using Time-Register

Kim, KwangSeok; Yu, Wonsik; Cho, SeongHwan, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.49, no.4, pp.1007 - 1016, 2014-04

7
A Charging Acceleration Technique for Highly Efficient Cascode Class-E CMOS Power Amplifiers

Lee, Ockgoo; Han, Jeonghu; An, Kyu Hwan; Lee, Dong Ho; Lee, Kun-Seok; Hong, Songcheol; Lee, Chang-Ho, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.45, no.10, pp.2184 - 2197, 2010-10

8
A Display Source-Driver IC Featuring Multistage-Cascaded 10-Bit DAC and True-DC-Interpolative Super-OTA Buffer

Shin, Seunghwa; Kang, Gyeong-Gu; Lim, Gyu-Wan; Kim, Hyun-Sik, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.59, no.4, pp.1050 - 1066, 2024-04

9
A Dual-Shader 3-D Graphics Processor With Fast 4-D Vector Inner Product Units and Power-Aware Texture Cache

Yoon, Jae-Sung; Yu, Chang-Hyo; Kim, Dong-Hyun; Kim, Lee-Sup, IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, v.19, no.4, pp.525 - 537, 2011-04

10
A Fast Successive Cancellation List Decoder for Polar Codes With an Early Stopping Criterion

Kim, Daesung; Park, In-Cheol, IEEE TRANSACTIONS ON SIGNAL PROCESSING, v.66, no.18, pp.4971 - 4979, 2018-09

11
A High DR, DC-Coupled, Time-Based Neural-Recording IC With Degeneration R-DAC for Bidirectional Neural Interface

Jeon, Hyuntak; Bang, Jun-Suk; Jung, Yoontae; Choi, Injun; Je, Minkyu, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.54, no.10, pp.2658 - 2670, 2019-10

12
A Hybrid Polar-LINC CMOS Power Amplifier With Transmission Line Transformer Combiner

Lee, Hong-Tak; Jang, Seung-Hyun; Hong, Song-Cheol, IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, v.61, pp.1261 - 1271, 2013-03

13
A Lossless Embedded Compression Using Significant Bit Truncation for HD Video Coding

Kim, Jaemoon; Kyung, Chong-Min, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS FOR VIDEO TECHNOLOGY, v.20, pp.848 - 860, 2010-06

14
A Low Energy Injection-Locked FSK Transceiver With Frequency-to-Amplitude Conversion for Body Sensor Applications

Bae, Joon-Sung; Yan, Long; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.46, no.4, pp.928 - 937, 2011-04

15
A Low-Latency Multi-Touch Detector Based on Concurrent Processing of Redesigned Overlap Split and Connected Component Analysis

Kong, Byeong Yong; Lee, Jooseung; Park, In-Cheol, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, v.67, no.1, pp.166 - 176, 2020-01

16
A novel dynamic framework to detect DDoS in SDN using metaheuristic clustering

Shakil, Muhammad; Mohammed, Alaelddin Fuad Yousif; Arul, Rajakumar; Bashir, Ali Kashif; Choi, Jun Kyun, TRANSACTIONS ON EMERGING TELECOMMUNICATIONS TECHNOLOGIES, v.33, no.3, 2022-03

17
A Quasi-Four-Pair Class-E CMOS RF Power Amplifier With an Integrated Passive Device Transformer

Lee, Hong-Tak; Park, Chang-Kun; Hong, Song-Cheol, IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, v.57, no.4, pp.752 - 759, 2009-04

18
A reconfigurable multilevel parallel texture cache memory with 75-GB/s parallel cache replacement bandwidth

Park, SJ; Kim, JS; Woo, R; Lee, SJ; Lee, KM; Yang, TH; Jung, JY; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.37, no.5, pp.612 - 623, 2002-05

19
A Seamless Evolution Method With Protection Capability for Next-Generation Access Networks

Lee, Jong-Hoon; Choi, Ki-Man; Moon, Jung-Hyung; Mun, Sil-Gu; Lee, Hoon-Keun; Kim, Joon-Young; Lee, Chang-Hee, JOURNAL OF LIGHTWAVE TECHNOLOGY, v.27, no.19, pp.4311 - 4318, 2009-10

20
A Vocabulary Forest Object Matching Processor With 2.07 M-Vector/s Throughput and 13.3 nJ/Vector Per-Vector Energy for Full-HD 60 fps Video Object Recognition

Lee, Kyuho Jason; Kim, Gyeong-Hoon; Park, Jun-Young; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.50, no.4, pp.1059 - 1069, 2015-04

21
Absolute differentiated services for optical burst switching networks using dynamic wavelength assignment

Kim, SC; Choi, JS; Kang, Min Ho, LECTURE NOTES IN COMPUTER SCIENCE, v.3079, pp.855 - 866, 2004

22
Achievable Rate-Energy Region in Two-way Decode-and-Forward Energy Harvesting Relay Systems

In, Changdon; Kim, Hyung-Myung; Choi, Wan, IEEE TRANSACTIONS ON COMMUNICATIONS, v.67, no.6, pp.3923 - 3935, 2019-06

23
An Active-Matrix OLED Driver CMOS IC With Compensation of Non-Uniform Routing-Line Resistances in Ultra-Thin Panel Bezel

Kim, Hyun-Sik; Kim, Dong-Kyu, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.53, no.2, pp.484 - 500, 2018-02

24
An Area-Efficient 10-Bit Source-Driver IC With LSB-Stacked LV-to-HV-Amplify DAC for Mobile OLED Displays

Lim, Gyu Wan; Gang, Gyeong-Gu; Ma, Hyunggun; Jeong, Moonjae; Kim, Hyun-Sik, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.58, no.11, pp.3164 - 3164, 2023-11

25
An efficient location management scheme based on replication strategy for intersystem roaming in mobile wireless networks

Lee, HyeJeong; Cho, Dong-Ho, COMPUTER COMMUNICATIONS, v.29, no.16, pp.3238 - 3249, 2006-10

26
An Integrated Circuit With Transmit Beamforming Flip-Chip Bonded to a 2-D CMUT Array for 3-D Ultrasound Imaging

Wygant, Ira O.; Jamal, Nafis S.; Lee, Hyunjoo J.; Nikoozadeh, Amin; Oralkan, Oemer; Karaman, Mustafa; Khuri-Yakub, Butrus T., IEEE TRANSACTIONS ON ULTRASONICS FERROELECTRICS AND FREQUENCY CONTROL, v.56, no.10, pp.2145 - 2156, 2009-10

27
An Overview of Processing-in-Memory Circuits for Artificial Intelligence and Machine Learning

Kim, Donghyuk; Yu, Chengshuo; Xie, Shanshan; Chen, Yuzong; Kim, Joo-Young; Kim, Bongjin; Kulkarni, Jaydeep P.; et al, IEEE JOURNAL ON EMERGING AND SELECTED TOPICS IN CIRCUITS AND SYSTEMS, v.12, no.2, pp.338 - 353, 2022-06

28
An Ultra-Low Jitter, Low-Power, 102-GHz PLL Using a Power-Gating Injection-Locked Frequency Multiplier-Based Phase Detector

Park, Suneui; Choi, Seojin; Yoo, Seyeon; Cho, Yoonseo; Choi, Jaehyouk, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.57, no.9, pp.2829 - 2840, 2022-09

29
Area-efficient pixel rasterization and texture coordinate interpolation

Kim, Donghyun; Kim, Lee-Sup, COMPUTERS & GRAPHICS-UK, v.32, no.6, pp.669 - 681, 2008-12

30
Area-optimized Syndrome Calculation for ReedSolomon Decoder

Lee, Youngjoo; Park, In-Cheol; Yoo, Hoyoung, JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, v.18, no.5, pp.609 - 615, 2018-10

31
ART neural network-based integration of episodic memory and semantic memory for task planning for robots

Nasir, Jauwairia; Kim, Deok Hwa; Kim, Jong-Hwan, AUTONOMOUS ROBOTS, v.43, no.8, pp.2163 - 2182, 2019-12

32
Block-Wise Concatenated BCH Codes for NAND Flash Memories

Cho, Sung-gun; Kim, Daeseong; Choi, Jinho; Ha, Jeongseok, IEEE TRANSACTIONS ON COMMUNICATIONS, v.62, no.4, pp.1164 - 1177, 2014-04

33
Cache-Assisted Mobile-Edge Computing Over Space-Air-Ground Integrated Networks for Extended Reality Applications

Yoo, SeongHoon; Jeong, Seongah; Kim, Jeongbin; Kang, Joonhyuk, IEEE INTERNET OF THINGS JOURNAL, v.11, no.10, pp.18306 - 18319, 2024-05

34
Collaborative Modeling Process for Development of Domain-Specific Discrete Event Simulation Systems

Sung, Chang-Ho; Kim, Tag-Gon, IEEE TRANSACTIONS ON SYSTEMS MAN AND CYBERNETICS PART C-APPLICATIONS AND REVIEWS, v.42, no.4, pp.532 - 546, 2012-07

35
CONTENTION-BASED RESERVATION PROTOCOLS IN MULTIWAVELENGTH OPTICAL NETWORKS WITH A PASSIVE STAR TOPOLOGY

JEON, HB; Un, Chong-Kwan, IEEE TRANSACTIONS ON COMMUNICATIONS, v.43, no.11, pp.2794 - 2802, 1995-11

36
Double Pile-Up Resonance Energy Harvesting Circuit for Piezoelectric and Thermoelectric Materials

Yoon, Kye Seok; Hong, Sung-Wan; Cho, Gyu-Hyeong, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.53, no.4, pp.1049 - 1060, 2018-04

37
DRAM-Latency Optimization Inspired by Relationship between Row-Access Time and Refresh Timing

Shin, Wongyu; Choi, Jungwhan; Jang, Jaemin; Suh, Jinwoong; Moon, Youngsuk; Kwon, Yongkee; Kim, Lee-Sup, IEEE TRANSACTIONS ON COMPUTERS, v.65, no.10, pp.3027 - 3040, 2016-10

38
Dynamic Error Recovery Flow Prediction Based on Reusable Machine Learning for Low Latency NAND Flash Memory under Process Variation

Hwang, Minyoung; Jee, Jeongju; Kang, Joonhyuk; Park, Hyuncheol; Lee, Seonmin; Kim, Jinyoung, IEEE ACCESS, v.10, pp.117715 - 117731, 2022-11

39
Efficient Flattening Algorithm for Hierarchical and Dynamic Structure Discrete Event Models

Bae, Jang Won; Bae, Sang Won; Moon, Il-Chul; Kim, Tag Gon, ACM TRANSACTIONS ON MODELING AND COMPUTER SIMULATION, v.26, no.4, 2016-05

40
Efficient Suppression of Defects and Charge Trapping in High Density In-Sn-Zn-O Thin Film Transistor Prepared using Microwave-Assisted Sputter

Goh, Youngin; Ahn, Jaehan; Lee, Jeong Rak; Park, Wan Woo; Park, Sang-Hee Ko; Jeon, Sanghun, ACS APPLIED MATERIALS & INTERFACES, v.9, no.42, pp.36962 - 36970, 2017-10

41
Exploiting Compression-Induced Internal Fragmentation for Power-Off Recovery in SSD

Kim, Dongwook; Won, Youjip; Cha, Jaehyuk; Yoon, Sungroh; Choi, Jongmoo; Kang, Sooyong, IEEE TRANSACTIONS ON COMPUTERS, v.65, no.6, pp.1720 - 1733, 2016-06

42
Exploiting Intra-Request Slack to Improve SSD Performance

Elyasi, Nima; Arjomand, Mohammad; Sivasubramaniam, Anand; Kandemir, Mahmut T.; Das, Chita R.; Jung, Myoungsoo, ACM SIGPLAN NOTICES, v.52, no.4, pp.375 - 388, 2017-04

43
Exploring Parallel Data Access Methods in Emerging Non-Volatile Memory Systems

Jung, Myoungsoo, IEEE TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEMS, v.28, no.3, pp.746 - 759, 2017-03

44
Fabrication of Patterned Polydiacetylene Composite Films Using a Replica-Molding (REM) Technique

Yarimaga, Oktay; Lee, Sumi; Kim, Jong-Man; Choi, Yang-Kyu, MACROMOLECULAR RAPID COMMUNICATIONS, v.31, no.3, pp.270 - 274, 2010-02

45
Four-sector cross-shaped urban microcellular systems with intelligent switched-beam antennas

Cho, HS; Chung, JH; Sung, Dan Keun, IEEE TRANSACTIONS ON VEHICULAR TECHNOLOGY, v.50, no.2, pp.592 - 604, 2001-03

46
Graph Independent Component Analysis Reveals Repertoires of Intrinsic Network Components in the Human Brain

Park, Bumhee; Kim, Dae-Shik; Park, Hae-Jeong, PLOS ONE, v.9, no.1, 2014-01

47
High performance memory mode control for HDTV decoders

Park, SI; Yi, YS; Park, In-Cheol, IEEE TRANSACTIONS ON CONSUMER ELECTRONICS, v.49, pp.1348 - 1353, 2003-11

48
High-resolution diffusion tensor imaging and tractography of the human optic chiasm at 9.4 T

Roebroeck, Alard; Galuske, Ralf; Formisano, Elia; Chiry, Oriana; Bratzke, Hansjuergen; Roebroeck, Alard; Ronen, Itamar; et al, NEUROIMAGE, v.39, no.1, pp.157 - 168, 2008-01

49
Homogeneous Stream Processors With Embedded Special Function Units for High-Utilization Programmable Shaders

Kim, Young-Jun; Kim, Hyo-Eun; Kim, Seok-Hoon; Park, Jun-Seok; Paek, Seung-Wook; Kim, Lee-Sup, IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, v.20, no.9, pp.1691 - 1704, 2012-09

50
Hybrid Memory Buffer Microarchitecture for High-Radix Routers

Li, Cunlu; Dong, Dezun; Liao, Xiangke; Kim, John, IEEE TRANSACTIONS ON COMPUTERS, v.71, no.11, pp.2888 - 2902, 2022-11

51
Hybrid Multibeamforming Receiver With High-Precision Beam Steering for Low Earth Orbit Satellite Communication

Chi, Ye-Eun; Park, Jinki; Park, Seong-Ook, IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION, v.71, no.7, pp.5695 - 5707, 2023-07

52
Image-Optimized Rolling Cache: Reducing the Miss Penalty for Memory-Intensive Vision Algorithms

Kim, Young-Geun; Kweon, In-So, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS FOR VIDEO TECHNOLOGY, v.24, no.3, pp.539 - 551, 2014-03

53
In Situ Multi-Bit Decision for Successive Cancellation List Decoding of Polar Codes

Park, Jaehyeon; Lee, Jaeyoung; Park, In-Cheol, IEEE ACCESS, v.10, pp.86943 - 86952, 2022-08

54
Individualized AI Tutor Based on Developmental Learning Networks

Kim, Woo-Hyun; Kim, Jong-Hwan, IEEE ACCESS, v.8, pp.27927 - 27937, 2020-02

55
Intelligence Technology for Robots That Think

Kim, Jong-Hwan; Choi, Seung-Hwan; Park, In-Won; Zaheer, Sheir Afgen, IEEE COMPUTATIONAL INTELLIGENCE MAGAZINE, v.8, no.3, pp.70 - 84, 2013-08

56
Investigation on static routing and resource assignment of elastic all-optical switched intra-datacenter networks

Peng, Limei; Park, Kiejin; Youn, Chan-Hyun, SCIENCE CHINA-INFORMATION SCIENCES, v.59, no.10, 2016-10

57
Joint direct and indirect channel estimation for RIS-assisted millimeter-wave systems based on array signal processing

Noh, Song; Seo, Kyeongsuk; Sung, Youngchul; Dove, David J.; Lee, Junse; Yu, Heejung, IEEE TRANSACTIONS ON WIRELESS COMMUNICATIONS, v.22, no.11, pp.8378 - 8391, 2023-11

58
Low-Complexity Parallel Chien Search Structure Using Two-Dimensional Optimization

Lee, Youngjoo; Yoo, Hoyoung; Park, In-Cheol, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.58, no.8, pp.522 - 526, 2011-08

59
Low-Complexity Parallel QPP Interleaver Based on Permutation Patterns

Kim, Bongjin; Yoo, Injae; Park, In-Cheol, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.60, no.3, pp.162 - 166, 2013-03

60
Low-Overhead Network-on-Chip Support for Location-Oblivious Task Placement

Kim, Gwangsun; Lee, Michael Mihn-Jong; Kim, John Dongjun; Lee, Jae W.; Abts, Dennis; Marty, Michael, IEEE TRANSACTIONS ON COMPUTERS, v.63, no.6, pp.1486 - 1499, 2014-06

Discover

Type

Open Access

Date issued

. next

Subject

. next

rss_1.0 rss_2.0 atom_1.0