Browse by Subject ARCHITECTURE

Showing results 1 to 60 of 207

1
5C-ID: Increased resolution Chromosome-Conformation-Capture-Carbon Copy with in situ 3C and double alternating primer design

Kim, Ji Hun; Titus, Katelyn R.; Gong, Wanfeng; Beagan, Jonathan A.; Cao, Zhendong; Phillips-Cremins, Jennifer E., METHODS, v.142, pp.39 - 46, 2018-06

2
A 1.8-GHz CMOS Power Amplifier Using Stacked nMOS and pMOS Structures for High-Voltage Operation

Son, Ki-Yong; Park, Chang-Kun; Hong, Song-Cheol, IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, v.57, no.11, pp.2652 - 2660, 2009-11

3
A 160-kilobit molecular electronic memory patterned at 1011 bits per square centimetre

Green, Jonathan E.; Choi, Jang Wook; (Boukai, Akram; Bunimovich, Yuri; Halperin, E; DeIonno, Erica; Luo, Yi; et al, NATURE, v.445, pp.414 - 417, 2007-01

4
A 320 mW 342 GOPS Real-Time Dynamic Object Recognition Processor for HD 720p Video Streams

Oh, Jin-Wook; Kim, Gyeonghoon; Park, Jun-Young; Hong, Injoon; Lee, Seung-Jin; Kim, Joo-Young; Woo, Jeong-Ho; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.48, no.1, pp.33 - 45, 2013-01

5
A 502-GOPS and 0.984-mW Dual-Mode Intelligent ADAS SoC With Real-Time Semiglobal Matching and Intention Prediction for Smart Automotive Black Box System

Lee, Kyuho Jason; Bong, Kyeongryeol; Kim, Changhyeon; Jang, Jaeeun; Lee, Kyoung-Rog; Lee, Jihee; Kim, Gyeonghoon; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.52, no.1, pp.139 - 150, 2017-01

6
A 502-GOPS and 0.984-mW Dual-Mode Intelligent ADAS SoC With Real-Time Semiglobal Matching and Intention Prediction for Smart Automotive Black Box System

Lee, Kyuho Jason; Bong, Kyeongryeol; Kim, Changhyeon; Jang, Jaeeun; Lee, Kyoung-Rog; Lee, Jihee; Kim, Gyeonghoon; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.52, no.1, pp.139 - 150, 2017-01

7
A 57 mW 12.5 mu J/Epoch Embedded Mixed-Mode Neuro-Fuzzy Processor for Mobile Real-Time Object Recognition

Oh, Jinwook; Kim, Gyeonghoon; Nam, Byeong-Gyu; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.48, no.11, pp.2894 - 2907, 2013-11

8
A 9 bit, 1.12 ps Resolution 2.5 b/ Stage Pipelined Time-to-Digital Converter in 65 nm CMOS Using Time-Register

Kim, KwangSeok; Yu, Wonsik; Cho, SeongHwan, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.49, no.4, pp.1007 - 1016, 2014-04

9
A Charging Acceleration Technique for Highly Efficient Cascode Class-E CMOS Power Amplifiers

Lee, Ockgoo; Han, Jeonghu; An, Kyu Hwan; Lee, Dong Ho; Lee, Kun-Seok; Hong, Songcheol; Lee, Chang-Ho, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.45, no.10, pp.2184 - 2197, 2010-10

10
A design of the minimum cost ring-chain network with dual-homing survivability: A tabu search approach

Lee, Chae Young; Koh, SJ, COMPUTERS & OPERATIONS RESEARCH, v.24, no.9, pp.883 - 897, 1997-09

11
A Display Source-Driver IC Featuring Multistage-Cascaded 10-Bit DAC and True-DC-Interpolative Super-OTA Buffer

Shin, Seunghwa; Kang, Gyeong-Gu; Lim, Gyu-Wan; Kim, Hyun-Sik, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.59, no.4, pp.1050 - 1066, 2024-04

12
A Dual-Shader 3-D Graphics Processor With Fast 4-D Vector Inner Product Units and Power-Aware Texture Cache

Yoon, Jae-Sung; Yu, Chang-Hyo; Kim, Dong-Hyun; Kim, Lee-Sup, IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, v.19, no.4, pp.525 - 537, 2011-04

13
A Dynamic Substrate Pool Revealed by cryo-EM of a Lipid-Preserved Respiratory Supercomplex

Jeon, Tae Jin; Lee, Seong-Gyu; Yoo, Suk Hyun; Kim, Myeongbin; Song, Dabin; Ryu, Joonghyun; Park, Hwangseo; et al, ANTIOXIDANTS & REDOX SIGNALING, v.36, no.16-18, pp.1101 - 1118, 2022-06

14
A fast and efficient output scheduler for high-performance input queueing ATM switches

Jacob, L; Song, HJ; Kim, H; Yoon, Hyunsoo, IEICE TRANSACTIONS ON INFORMATION AND SYSTEMS, v.E81D, no.3, pp.288 - 296, 1998-03

15
A Fast Successive Cancellation List Decoder for Polar Codes With an Early Stopping Criterion

Kim, Daesung; Park, In-Cheol, IEEE TRANSACTIONS ON SIGNAL PROCESSING, v.66, no.18, pp.4971 - 4979, 2018-09

16
A formal approach to the study of the evolution and commonality of patterns

Lee, Ji-Hyun; Park, Hyoung-June; Lim, Sungwoo; Kim, Sun-Joong, ENVIRONMENT AND PLANNING B-PLANNING & DESIGN, v.40, no.1, pp.23 - 42, 2013-02

17
A High DR, DC-Coupled, Time-Based Neural-Recording IC With Degeneration R-DAC for Bidirectional Neural Interface

Jeon, Hyuntak; Bang, Jun-Suk; Jung, Yoontae; Choi, Injun; Je, Minkyu, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.54, no.10, pp.2658 - 2670, 2019-10

18
A Hybrid Polar-LINC CMOS Power Amplifier With Transmission Line Transformer Combiner

Lee, Hong-Tak; Jang, Seung-Hyun; Hong, Song-Cheol, IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, v.61, pp.1261 - 1271, 2013-03

19
A Lossless Embedded Compression Using Significant Bit Truncation for HD Video Coding

Kim, Jaemoon; Kyung, Chong-Min, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS FOR VIDEO TECHNOLOGY, v.20, pp.848 - 860, 2010-06

20
A Low Energy Injection-Locked FSK Transceiver With Frequency-to-Amplitude Conversion for Body Sensor Applications

Bae, Joon-Sung; Yan, Long; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.46, no.4, pp.928 - 937, 2011-04

21
A Low-Latency Multi-Touch Detector Based on Concurrent Processing of Redesigned Overlap Split and Connected Component Analysis

Kong, Byeong Yong; Lee, Jooseung; Park, In-Cheol, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, v.67, no.1, pp.166 - 176, 2020-01

22
A method to optimize the scope of a software product platform based on end-user features

Hamad, Iqab Alsawalqah; Kang, Sungwon; Lee, Jihyun, JOURNAL OF SYSTEMS AND SOFTWARE, v.98, pp.79 - 106, 2014-12

23
A novel dynamic framework to detect DDoS in SDN using metaheuristic clustering

Shakil, Muhammad; Mohammed, Alaelddin Fuad Yousif; Arul, Rajakumar; Bashir, Ali Kashif; Choi, Jun Kyun, TRANSACTIONS ON EMERGING TELECOMMUNICATIONS TECHNOLOGIES, v.33, no.3, 2022-03

24
A Novel Intrusion Tolerant System Using Live Migration

Shin, Yong Joo; Song, Sihu; Lee, Yunho; Yoon, Hyunsoo, IEICE TRANSACTIONS ON INFORMATION AND SYSTEMS, v.E97D, no.4, pp.984 - 988, 2014-04

25
A Quasi-Four-Pair Class-E CMOS RF Power Amplifier With an Integrated Passive Device Transformer

Lee, Hong-Tak; Park, Chang-Kun; Hong, Song-Cheol, IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, v.57, no.4, pp.752 - 759, 2009-04

26
A reconfigurable multilevel parallel texture cache memory with 75-GB/s parallel cache replacement bandwidth

Park, SJ; Kim, JS; Woo, R; Lee, SJ; Lee, KM; Yang, TH; Jung, JY; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.37, no.5, pp.612 - 623, 2002-05

27
A reflective service gateway for integrating evolvable sensor-actuator networks with pervasive infrastructure

Kim, Seong Hoon; Kim, Daeyoung; Kang, Jeong-Seok; Park, Hong Seong, JOURNAL OF PARALLEL AND DISTRIBUTED COMPUTING, v.72, no.10, pp.1237 - 1253, 2012-10

28
A Scalable and Flexible Repository for Big Sensor Data

Lee, Dongeun; Choi, Jaesik; Shin, Heonshik, IEEE SENSORS JOURNAL, v.15, no.12, pp.7284 - 7294, 2015-12

29
A Seamless Evolution Method With Protection Capability for Next-Generation Access Networks

Lee, Jong-Hoon; Choi, Ki-Man; Moon, Jung-Hyung; Mun, Sil-Gu; Lee, Hoon-Keun; Kim, Joon-Young; Lee, Chang-Hee, JOURNAL OF LIGHTWAVE TECHNOLOGY, v.27, no.19, pp.4311 - 4318, 2009-10

30
A Thermal Margin Preservation Scheme for Interactive Multimedia Consumer Electronics

Badano, Nicolas; Woo, Youngjoo; Hwang, Jeaho; Seo, Euiseong, IEEE TRANSACTIONS ON CONSUMER ELECTRONICS, v.62, no.1, pp.53 - 61, 2016-02

31
A tiling-deletion-based genetic screen for cis-regulatory element identification in mammalian cells

Diao, Yarui; Fang, Rongxin; Li, Bin; Meng, Zhipeng; Yu, Juntao; Qiu, Yunjiang; Lin, Kimberly C.; et al, NATURE METHODS, v.14, no.6, pp.629 - +, 2017-06

32
A TMO-based approach to tolerance of transmission jitters in tele-audio services

Kim, DH; Kim, KH; Liu, S; Kim, JinHyung, COMPUTER SYSTEMS SCIENCE AND ENGINEERING, v.17, no.6, pp.325 - 334, 2002-11

33
A User eXperience Evaluation Framework for Mobile Usability

Lee, Hee Jin; Lee, Joon-Sang; Jee, Eunkyoung; Bae, Doo-Hwan, INTERNATIONAL JOURNAL OF SOFTWARE ENGINEERING AND KNOWLEDGE ENGINEERING, v.27, no.2, pp.235 - 279, 2017-03

34
A User eXperience Evaluation Framework for Mobile Usability

Lee, Hee Jin; Lee, Joon-Sang; Jee, Eunkyoung; Bae, Doo-Hwan, INTERNATIONAL JOURNAL OF SOFTWARE ENGINEERING AND KNOWLEDGE ENGINEERING, v.27, no.2, pp.235 - 279, 2017-03

35
A Vocabulary Forest Object Matching Processor With 2.07 M-Vector/s Throughput and 13.3 nJ/Vector Per-Vector Energy for Full-HD 60 fps Video Object Recognition

Lee, Kyuho Jason; Kim, Gyeong-Hoon; Park, Jun-Young; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.50, no.4, pp.1059 - 1069, 2015-04

36
Absolute differentiated services for optical burst switching networks using dynamic wavelength assignment

Kim, SC; Choi, JS; Kang, Min Ho, LECTURE NOTES IN COMPUTER SCIENCE, v.3079, pp.855 - 866, 2004

37
Achievable Rate-Energy Region in Two-way Decode-and-Forward Energy Harvesting Relay Systems

In, Changdon; Kim, Hyung-Myung; Choi, Wan, IEEE TRANSACTIONS ON COMMUNICATIONS, v.67, no.6, pp.3923 - 3935, 2019-06

38
Additive process using femto-second laser for manufacturing three-dimensional nano/micro-structures

Yang, Dong-Yol; Lim, TW; Son, Y; Kong, Hong-Jin; Lee, KS; Kim, DP; Park, SH, INTERNATIONAL JOURNAL OF PRECISION ENGINEERING AND MANUFACTURING, v.8, pp.63 - 69, 2007-10

39
Advances in the multimodal analysis of the 3D chromatin structure and gene regulation

Han, Man-Hyuk; Park, Jihyun; Park, Minhee, EXPERIMENTAL AND MOLECULAR MEDICINE, v.56, pp.763 - 771, 2024-04

40
An Active-Matrix OLED Driver CMOS IC With Compensation of Non-Uniform Routing-Line Resistances in Ultra-Thin Panel Bezel

Kim, Hyun-Sik; Kim, Dong-Kyu, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.53, no.2, pp.484 - 500, 2018-02

41
An Area-Efficient 10-Bit Source-Driver IC With LSB-Stacked LV-to-HV-Amplify DAC for Mobile OLED Displays

Lim, Gyu Wan; Gang, Gyeong-Gu; Ma, Hyunggun; Jeong, Moonjae; Kim, Hyun-Sik, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.58, no.11, pp.3164 - 3164, 2023-11

42
An asymmetric SMC-kleisin bridge in prokaryotic condensin

Buermann, Frank; Shin, Ho-Chul; Basquin, Jerome; Soh, Young-Min; Gimenez-Oya, Victor; Kim, Yeon-Gil; Oh, Byung-Ha; et al, NATURE STRUCTURAL & MOLECULAR BIOLOGY, v.20, no.3, pp.371 - 379, 2013-03

43
An Efficient Interaction Protocol Inference Scheme for Incompatible Updates in IoT Environments

Son, Heesuk; Lee, Dongman, ACM TRANSACTIONS ON INTERNET TECHNOLOGY, v.22, no.2, 2022-05

44
An efficient location management scheme based on replication strategy for intersystem roaming in mobile wireless networks

Lee, HyeJeong; Cho, Dong-Ho, COMPUTER COMMUNICATIONS, v.29, no.16, pp.3238 - 3249, 2006-10

45
An Integrated Circuit With Transmit Beamforming Flip-Chip Bonded to a 2-D CMUT Array for 3-D Ultrasound Imaging

Wygant, Ira O.; Jamal, Nafis S.; Lee, Hyunjoo J.; Nikoozadeh, Amin; Oralkan, Oemer; Karaman, Mustafa; Khuri-Yakub, Butrus T., IEEE TRANSACTIONS ON ULTRASONICS FERROELECTRICS AND FREQUENCY CONTROL, v.56, no.10, pp.2145 - 2156, 2009-10

46
An output queueing analysis of multipath ATM switches

Song, H; Kwon, B; Jang, I; Yoon, Hyunsoo, JOURNAL OF SYSTEMS ARCHITECTURE, v.46, no.11, pp.1005 - 1012, 2000-09

47
An Overview of Processing-in-Memory Circuits for Artificial Intelligence and Machine Learning

Kim, Donghyuk; Yu, Chengshuo; Xie, Shanshan; Chen, Yuzong; Kim, Joo-Young; Kim, Bongjin; Kulkarni, Jaydeep P.; et al, IEEE JOURNAL ON EMERGING AND SELECTED TOPICS IN CIRCUITS AND SYSTEMS, v.12, no.2, pp.338 - 353, 2022-06

48
An ultra-high-density bin map facilitates high-throughput QTL mapping of horticultural traits in pepper (Capsicum annuum)

Han, Koeun; Jeong, Hee-Jin; Yang, Hee-Bum; Kang, Sung-Min; Kwon, Jin-Kyung; Kim, Seungill; Choi, Doil; et al, DNA RESEARCH, v.23, no.2, pp.81 - 91, 2016-04

49
An Ultra-Low Jitter, Low-Power, 102-GHz PLL Using a Power-Gating Injection-Locked Frequency Multiplier-Based Phase Detector

Park, Suneui; Choi, Seojin; Yoo, Seyeon; Cho, Yoonseo; Choi, Jaehyouk, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.57, no.9, pp.2829 - 2840, 2022-09

50
Area-efficient pixel rasterization and texture coordinate interpolation

Kim, Donghyun; Kim, Lee-Sup, COMPUTERS & GRAPHICS-UK, v.32, no.6, pp.669 - 681, 2008-12

51
Area-optimized Syndrome Calculation for ReedSolomon Decoder

Lee, Youngjoo; Park, In-Cheol; Yoo, Hoyoung, JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, v.18, no.5, pp.609 - 615, 2018-10

52
ART neural network-based integration of episodic memory and semantic memory for task planning for robots

Nasir, Jauwairia; Kim, Deok Hwa; Kim, Jong-Hwan, AUTONOMOUS ROBOTS, v.43, no.8, pp.2163 - 2182, 2019-12

53
Atomic structure of the nuclear pore complex targeting domain of a Nup116 homologue from the yeast, Candida glabrata

Sampathkumar, Parthasarathy; Kim, Seung Joong; Manglicmot, Danalyn; Bain, Kevin T.; Gilmore, Jeremiah; Gheyi, Tarun; Phillips, Jeremy; et al, PROTEINS-STRUCTURE FUNCTION AND BIOINFORMATICS, v.80, no.8, pp.2110 - 2116, 2012-08

54
Bilayer quantum dot-decorated mesoscopic inverse opals for high volumetric photoelectrochemical water splitting efficiency

Lee, Jaemin; Cho, Chang-Yeol; Lee, Doh Chang; Moon, Jun Hyuk, RSC ADVANCES, v.6, no.11, pp.8756 - 8762, 2016-01

55
Block-Wise Concatenated BCH Codes for NAND Flash Memories

Cho, Sung-gun; Kim, Daeseong; Choi, Jinho; Ha, Jeongseok, IEEE TRANSACTIONS ON COMMUNICATIONS, v.62, no.4, pp.1164 - 1177, 2014-04

56
Characterization of Structural Variations in the Context of 3D Chromatin Structure

Kim, Kyukwang; Eom, Jung Hyun; Jung, Inkyung, MOLECULES AND CELLS, v.42, no.7, pp.512 - 522, 2019-07

57
Characterizing the interplay between multiple levels of organization within bacterial sigma factor regulatory networks

Qiu, Yu; Nagarajan, Harish; Embree, Mallory; Shieu, Wendy; Abate, Elisa; Juarez, Katy; Cho, Byung-Kwan; et al, NATURE COMMUNICATIONS, v.4, 2013-04

58
Chromosome-like organization of an asymmetrical ring polymer confined in a cylindrical space

Jeon, Chanil; Kim, Juin; Jeong, Hawoong; Jung, Youngkyun; Ha, Bae-Yeun, SOFT MATTER, v.11, no.41, pp.8179 - 8193, 2015-11

59
Claudin-4 reconstituted in unilamellar vesicles is sufficient to form tight interfaces that partition membrane proteins

Belardi, Brian; Son, Sungmin; Vahey, Michael D.; Wang, Jinzhi; Hou, Jianghui; Fletcher, Daniel A., JOURNAL OF CELL SCIENCE, v.132, no.4, 2019-02

60
Collaborative group work and the different types of cognitive load

Lange, Christopher; Costley, Jamie; Fanguy, Mik, INNOVATIONS IN EDUCATION AND TEACHING INTERNATIONAL, v.58, no.4, pp.377 - 386, 2021-07

rss_1.0 rss_2.0 atom_1.0