Browse "School of Electrical Engineering(전기및전자공학부)" by Title 

Showing results 17601 to 17620 of 50978

17601
Fabrication of a sub-wavelength pattern by surface plasmon lithography

Choi, Kyung Cheol; Kim, ES, The 4th International Conference on Microelectronics and Plasma Technology (ICMAP 2012), 2012-07-05

17602
Fabrication of a uniform microlens array over a large area using self-aligned diffuser lithography (SADL)

Kim, Hyeon-Don; Yoon, Gun-Wook; Yeon, Jeong-Ho; Lee, Joo-Hyung; Yoon, Jun-Bo, JOURNAL OF MICROMECHANICS AND MICROENGINEERING, v.22, no.4, 2012-04

17603
Fabrication of a uniform microlens array over a large area using self-aligned diffuser lithography and its application = 자기정렬 디퓨저 리소그래피를 이용한 대면적의 균일한 마이크로렌즈 어레이 제작 및 이의 응용link

Kim, Hyeon-Don; 김현돈; et al, 한국과학기술원, 2012

17604
Fabrication of amorphous silicon solar cells on ZnO/glass substrate

Lim, Koeng Su, Korea-Japan Top University League Workshop on Photovoltaics 2010 (Top-PV2010), Korea-Japan Top University League Workshop on Photovoltaics 2010 (Top-PV2010), 2010

17605
Fabrication of an electrowetting liquid microlens array for a focus tunable integral imaging system

Kim, Cheoljoong; Shin, Dooseub; Koo, Gyohyun; Won, Yong Hyub, OPTICS LETTERS, v.45, no.2, pp.511 - 514, 2020-01

17606
Fabrication of an Inch-scale High-Q Fiber Ring Resonator

Pradono, Rizki Arif; Syahadi, Mohamad; Jeong, Dongin; Son, Gyeongho; Lee, Hansuek; Yu, Kyoungsik, 2022 Conference on Lasers and Electro-Optics (CLEO), Optica Publishing Group (formerly OSA), 2022-05

17607
Fabrication of an integrated optical filter using a large-core multimode waveguide vertically coupled to a single-mode waveguide

Kwon, MS; Kim, KH; Oh, YH; Shin, Sang Yung, OPTICS EXPRESS, v.11, no.18, pp.2211 - 2216, 2003-09

17608
Fabrication of an optical interconnection plate using a polymeric waveguide and a transparent substrate

Cho, HS; Eo, JY; Kang, S; Han, MG; Chu, KM; Rho, BS; Park, HyoHoon, The Fifth Pacific Rim Conference on Laser and Electro-Optics, pp.0 - 0, 2003-12-15

17609
Fabrication of annular capacitive micromachined ultrasonic transducer array for real-time 3-D volumetric ultrasound imaging. = 실시간 3차원 체적 초음파 이미징을 위한 환형 정전용량형 초음파 트랜스듀서 배열 제작link

Kwon, Young Seok; Jeong, Jae-Woong; et al, 한국과학기술원, 2023

17610
Fabrication of annular photonic crystals by atomic layer deposition and sacrificial etching

Feng, Junbo; Chen, Yao; Blair, John; Kurt, Hamza; Hao, Ran; Citrin, D. S.; Summers, Christopher J.; et al, JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B, v.27, no.2, pp.568 - 572, 2009-03

17611
Fabrication of Capacitive Micromachined Ultrasonic Transducers via Local Oxidation and Direct Wafer Bonding

Park, Kwan Kyu; Lee, Hyunjoo; Kupnik, Mario; Khuri-Yakub, Butrus T., JOURNAL OF MICROELECTROMECHANICAL SYSTEMS, v.20, no.1, pp.95 - 103, 2011-02

17612
Fabrication of Cu-encapsulated carbon nanotube inductors

Lee, B.C.; Lee, J.O.; Choi, Y.-K.; Yoon, Jun-Bo, 2007 NSTI Nanotechnology Conference and Trade Show - NSTI Nanotech 2007, pp.45 - 48, 2007-05-20

17613
Fabrication of Edge-Illuminated Refracting Facet Photodiodes with on-chip V-grooves

Lee, B; Yoon, M; 양경훈, Korean Conference On Semiconductors, pp.51 - 52, 2003

17614
Fabrication of electro-wetting liquid lenticular lens by using diffuser

Sim, Jee Hoon; Kim, Junoh; Kim, Cheoljoong; Shin, Dooseub; Lee, Junsik; Koo, Gyo Hyun; Won, Yong Hyub, Advanced Fabrication Technologies for Micro/Nano Optics and Photonics X 2017, SPIE, 2017-02

17615
Fabrication of ferroelectric polymer multilayer for flexible memory application

Kim, Woo Yong; Lee, Hee Chul, ICAMD(International Conference on Advanced Materials and Devices), 2011-12

17616
Fabrication of fiber taper waveguides using tube-etching

Son, Gyeongho; Jung, Youngho; Yu, Kyoungsik, NanoKorea 2017, Korea Nano Technology Research Society, 2017-07-12

17617
Fabrication of fiber-embedded boards using grooving technique for optical interconnection applications

Cho, HS; Kang, S; Rho, BS; Park, HyoHoon; Shin, KU; Ha, SW; Rhee, BH; et al, OPTICAL ENGINEERING, v.43, no.12, pp.3083 - 3088, 2004-12

17618
Fabrication of fin field-effect transistor silicon nanocrystal floating gate memory using photochemical vapor deposition

Kim, SS; Cho, WJ; Ahn, CG; Im, K; Yang, JH; Baek, IB; Lee, S; et al, APPLIED PHYSICS LETTERS, v.88, no.22, pp.223502, 2006-05

17619
Fabrication of Fin-FET nanocrystal memory device = Fin-FET 나노크리스탈 메모리 소자 제작link

Kim, Sang-Soo; 김상수; et al, 한국과학기술원, 2006

17620
Fabrication of Flexible NO2 Gas Sensors Using Graphene Carbon Nanotube Hybrid Films

Jeong, Hu Young; Choi, Hong Kyw; Lee, Duck Hyun; Lee, Dae-Sik; Lee, Jeong Yong; Kim, Sangouk; Choi, Sung-Yool, 2010 MRS Spring Meeting & Exhibit, MRS, 2010-04-08

Discover

Type

. next

Open Access

Date issued

. next

Subject

. next

rss_1.0 rss_2.0 atom_1.0