Browse by Title 

Showing results 173861 to 173880 of 275955

173861
Self-aligned deterministic coupling of single quantum emitter to nanofocused plasmonic modes

Gong, Suhyun; Kim, Je-Hyung; Ko, Young-Ho; Rodriguez, Christophe; Shin, Jonghwa; Lee, Yong-Hee; Dang, Le Si; et al, PROCEEDINGS OF THE NATIONAL ACADEMY OF SCIENCES OF THE UNITED STATES OF AMERICA, v.112, no.17, pp.5280 - 5285, 2015-04

173862
Self-aligned emitter-base contact과 base pad isolation을 이용한 초고주파용 InP/InGaAs HBT제작 = Fabrication of high-frequency InP/InGaAs HBTs using self-aligned emitter-base contact and base pad isolationlink

송용주; Song, Yong-Joo; et al, 한국과학기술원, 2002

173863
Self-aligned InGaAsP nano-emitters near telecom-wavelength

Pramudita, Putu Eka; Jang, Hoon; Lee, Chang-Min; Karnadi, Indra; Lee, Jungmin; Kim, Myung Ki; Lee, Yong-Hee, 11th Conference on Lasers and Electro-Optics Pacific Rim, CLEO-PR 2015, Institute of Electrical and Electronics Engineers Inc., 2015-08

173864
Self-aligned InP/InGaAs heterojunction bipolar transistor and It's monolithic integration with p-i-n photodiode = 자기정렬 이종접합 바이폴라 트랜지스터와 광검출기와의 집적link

Kim, Moon-Jung; 김문정; et al, 한국과학기술원, 2003

173865
Self-Aligned Metal Source/Drain InxGa1-x As n-Metal-Oxide-Semiconductor Field-Effect Transistors Using Ni-InGaAs Alloy

Kim, SangHyeon; Yokoyama, Masafumi; Taoka, Noriyuki; Iida, Ryo; Lee, Sunghoon; Nakane, Ryosho; Urabe, Yuji; et al, APPLIED PHYSICS EXPRESS, v.4, no.2, 2011-02

173866
Self-aligned micro tool and electrochemical discharge machining (ECDM) for ceramic materials

Lim H.-J.; Lim Y.-M.; Kim, Soohyun; Kwak, Yoon Keun, Optical Engineering for Sensing and Nanotechnology (ICOSN 2001), pp.348 - 353, ICOSN, 2001-06-06

173867
Self-aligned Microlens-Waveguide Using Self-writing Process in Photosensitive Polymer Resin

Jung, H.; Keum, D.; Jeong, KI-HUN, Photonics Conference 2008, pp.237 - 237, 한국광학회, 2008-11-05

173868
Self-Aligned Nanoforest in Silicon Nanowire for Sensitive Conductance Modulation

Seol, Myeong-Lok; Ahn, Jae-Hyuk; Choi, Ji-Min; Choi, Sung-Jin; Choi, Yang-Kyu, NANO LETTERS, v.12, no.11, pp.5603 - 5608, 2012-11

173869
Self-aligned nanoisland-array photonic bandedge laser = 자가정렬 나노섬 배열 광결정 밴드끝 레이저link

Pramudita, Putu Eka; Rotermund, Fabian; et al, 한국과학기술원, 2017

173870
Self-aligned nanoislands nanobeam bandedge lasers

Pramudita, Putu Eka; Jang, Hoon; Karnadi, Indra; Kim, Hwi-Min; Lee, Yong-Hee, OPTICS EXPRESS, v.25, no.6, pp.6311 - 6319, 2017-03

173871
Self-aligned Ni-GaSb source/drain junctions for GaSb p-channel metal-oxide-semiconductor field-effect transistors

Yokoyama, Masafumi; Nishi, Koichi; Kim, Sanghyeon; Yokoyama, Haruki; Takenaka, Mitsuru; Takagi, Shinichi, APPLIED PHYSICS LETTERS, v.104, no.9, 2014-03

173872
Self-Aligned Series Connection of an Amorphous Silicon p-i-n Type Solar Cell Using Aluminum Oblique Deposition for Minimum Power Loss

Hong, Yunho; Kang, Sang Jung; Jeon, Jin-Wan; Lim, Koeng Su, IEEE ELECTRON DEVICE LETTERS, v.34, no.11, pp.1355 - 1357, 2013-11

173873
SELF-ALIGNED SHALLOW JUNCTION MJFET (METAL JUNCTION FET) FOR HIGHER TURN-ON AND BREAKDOWN VOLTAGES

JEON, BT; HAN, JH; Lee, Kwyro; Kwon, Young Se, IEEE ELECTRON DEVICE LETTERS, v.13, no.12, pp.630 - 632, 1992-12

173874
Self-Aligned Shallow Junction P+-gate GaAs HEFT for Higher Turn-on and Breakdown Voltages

Jeon, B.T.; Han, J.H.; Kwon, Young Se; Lee, Kwyro, International Device Research Symposium, pp.331 - 334, International Device Research Symposium, 1991

173875
Self-aligning silicon micromachining using electrochemical etching and its applications = 전기화학적 식각을 이용한 자기정렬 실리콘 미세가공과 그 응용link

Lee, Hi-Deok; 이희덕; et al, 한국과학기술원, 1996

173876
Self-Assembled and Field-Induced 2D Structures of Glutaric Acid on Cu(110)

Park, Eun Hee; Min, Young Hwan; Kim, Sehun, JOURNAL OF PHYSICAL CHEMISTRY C, v.118, no.13, pp.6719 - 6725, 2014-04

173877
Self-assembled and intercalated film of reduced graphene oxide for a novel vacuum pressure sensor

Ahn, Sung Il; Jung, Jura; Kim, Yongwoo; Lee, Yujin; Kim, Kukjoo; Lee, Seong Eui; Kim, Sungyun; et al, SCIENTIFIC REPORTS, v.6, 2016-12

173878
Self-assembled and nanostructured hydrogels for drug delivery and tissue engineering

Chung, Hyun Jung; Park, Taie Gwan, NANO TODAY, v.4, no.5, pp.429 - 437, 2009-10

173879
Self-Assembled and Nanostructured siRNA Delivery Systems

Jeong, Ji Hoon; Park, Tae Gwan; Kim, Sun Hwa, PHARMACEUTICAL RESEARCH, v.28, no.9, pp.2072 - 2085, 2011-09

173880
Self-Assembled and STM Tip-induced Structures of organic molecules with carboxylic acid functional group on Cu(110) and Ge(100) surfaces = Cu(110)와 Ge(100)표면에서 카복실기 그룹을 가진 유기 분자의 자기 조립 구조체 및 STM 탐침에 의해 형성된 구조체 연구link

Park, Eun-Hee; 박은희; et al, 한국과학기술원, 2014

rss_1.0 rss_2.0 atom_1.0