Search

Start a new search
Current filters:
Add filters:
  • Results/Page
  • Sort items by
  • In order
  • Authors/record

Results 1-10 of 14 (Search time: 0.002 seconds).

NO Title, Author(s) (Publication Title, Volume Issue, Page, Issue Date)
1
An Ultra-low-power Mixed-mode Face Recognition Processor for Always-on User Authentication in Mobile Device

Kim, Ji-Hoon; Kim, Changhyeon; Kim, Kwantae; Lee, Juhyoung; Yoo, Hoi-Jun; Kim, Joo-Young, JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, v.20, no.6, pp.499 - 509, 2020-12

2
SRNPU: An Energy-Efficient CNN-Based Super-Resolution Processor With Tile-Based Selective Super-Resolution in Mobile Devices

Lee, Juhyoung; Lee, Jinsu; Yoo, Hoi-Jun, IEEE JOURNAL ON EMERGING AND SELECTED TOPICS IN CIRCUITS AND SYSTEMS, v.10, no.3, pp.320 - 334, 2020-09

3
A 1.02-μW STT-MRAM-Based DNN ECG arrhythmia monitoring SoC with leakage-based delay MAC unit

Lee, Kyoung-Rog; Kim, Jihoon; Kim, Changhyeon; Han, Donghyeon; Lee, Juhyoung; Lee, Jinsu; Jeong, Hongsik; Yoo, Hoi-Jun, IEEE SOLID-STATE CIRCUITS LETTERS, v.3, pp.390 - 393, 2020-09

4
HNPU: An Adaptive DNN Training Processor Utilizing Stochastic Dynamic Fixed-Point and Active Bit-Precision Searching

Han, Donghyeon; Im, Dongseok; Park, Gwangtae; Kim, Youngwoo; Song, Seokchan; Lee, Juhyoung; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.56, no.9, pp.2858 - 2869, 2021-09

5
GANPU: An Energy-Efficient Multi-DNN Training Processor for GANs With Speculative Dual-Sparsity Exploitation

Kang, Sanghoon; Han, Donghyeon; Lee, Juhyoung; Im, Dongseok; Kim, Sangyeob; Kim, Soyeon; Ryu, Junha; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.56, no.9, pp.2845 - 2857, 2021-09

6
PNPU: An Energy-Efficient Deep-Neural-Network Learning Processor with Stochastic Coarse-Fine Level Weight Pruning and Adaptive Input/Output/Weight Zero Skipping

Kim, Sangyeob; Lee, Juhyoung; Kang, Sanghoon; Lee, Jinmook; Jo, Wooyoung; Yoo, Hoi-Jun, IEEE Solid-State Circuits Letters, v.4, pp.22 - 25, 2021

7
TSUNAMI: Triple Sparsity-Aware Ultra Energy-Efficient Neural Network Training Accelerator With Multi-Modal Iterative Pruning

Kim, Sangyeob; Lee, Juhyoung; Kang, Sanghoon; Han, Donghyeon; Jo, Wooyoung; Yoo, Hoi-Jun, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, v.69, no.4, pp.1494 - 1506, 2022-04

8
ECIM: Exponent Computing in Memory for an Energy-Efficient Heterogeneous Floating-Point DNN Training Processor

Lee, Juhyoung; Kim, Jihoon; Jo, Wooyoung; Kim, Sangyeob; Kim, Sangjin; Yoo, Hoi-Jun, IEEE MICRO, v.42, no.1, pp.99 - 107, 2022-01

9
A Low-Power Graph Convolutional Network Processor With Sparse Grouping for 3D Point Cloud Semantic Segmentation in Mobile Devices

Kim, Sangjin; Kim, Sangyeob; Lee, Juhyoung; Yoo, Hoi-Jun, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, v.69, no.4, pp.1507 - 1518, 2022-04

10
A Mobile DNN Training Processor With Automatic Bit Precision Search and Fine-Grained Sparsity Exploitation

Han, Donghyeon; Im, Dongseok; Park, Gwangtae; Kim, Youngwoo; Song, Seokchan; Lee, Juhyoung; Yoo, Hoi-Jun, IEEE MICRO, v.42, no.2, pp.16 - 24, 2022-03

rss_1.0 rss_2.0 atom_1.0