Energy optimization framework for eDRAM based PIM with reconfigurable voltage swing and retention-aware schedulingeDRAM 기반 PIM을 위한 재구성 가능한 전압 스윙 및 데이터 보존 시간-인식 스케줄링을 갖춘 에너지 최적화 프레임워크

Cited 0 time in webofscience Cited 0 time in scopus
  • Hit : 1
  • Download : 0
Processing-in-memory (PIM) has demonstrated its high usage in accelerating data intensive applications such as transformer. Previous works mainly focus on optimizing the computational part of PIM to achieve higher energy efficiency. However, the importance of memory design, which consumes the most power in PIM operation, has been rather neglected. In this work, we propose an energy optimization framework for eDRAM based PIM called RED, featuring a novel reconfigurable eDRAM and retention-aware scheduling. The RED framework dynamically adjusts eDRAM operations to match specific use cases, significantly improving power efficiency. It estimates overall energy consumption across all possible tiling schemes and memory operations, pinpointing the most energy-efficient operating point. Reconfigurable eDRAM demonstrates a reduction in power consumption of memory access by up to 71.31%. Additionally, by selecting the best tiling scheme and memory operation through our energy modeling, RED achieves 1.84×-3.03× higher energy efficiency compared to the baseline.
Advisors
김주영researcher
Description
한국과학기술원 :전기및전자공학부,
Publisher
한국과학기술원
Issue Date
2024
Identifier
325007
Language
eng
Description

학위논문(석사) - 한국과학기술원 : 전기및전자공학부, 2024.2,[iii, 23p :]

Keywords

에너지 효율성▼a재구성 가능한 eDRAM▼a프레임워크▼a프로세싱-인-메모리▼a데이터 보존 시간; Energy efficiency▼aReconfigurable eDRAM▼aFramework▼aProcessing-in-memory▼aRetention time

URI
http://hdl.handle.net/10203/321592
Link
http://library.kaist.ac.kr/search/detail/view.do?bibCtrlNo=1097164&flag=dissertation
Appears in Collection
EE-Theses_Master(석사논문)
Files in This Item
There are no files associated with this item.

qr_code

  • mendeley

    citeulike


rss_1.0 rss_2.0 atom_1.0