Researcher Page

사진
Kim, Joo-Young (김주영)
부교수, (전기및전자공학부)
Research Area
Digital System Design, Computer Architecture, FPGA
Co-researchers
    Similar researchers

    Keyword Cloud

    Reload 더보기
    NO Title, Author(s) (Publication Title, Volume Issue, Page, Issue Date)
    1
    South Korea's Nationwide Effort for AI Semiconductor Industry

    Kim, Ji-Hoon; Yoo, Sungyeob; Kim, Joo-Young, COMMUNICATIONS OF THE ACM, v.66, no.7, pp.46 - 51, 2023-07

    2
    Agamotto: A Performance Optimization Framework for CNN Accelerator With Row Stationary Dataflow

    Kim, Donghyuk; Jeong, Sanghyun; Kim, Joo-Young, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, v.70, no.6, pp.2487 - 2496, 2023-06

    3
    T-PIM: An Energy-Efficient Processing-in-Memory Accelerator for End-to-End On-Device Training

    Heo, Jaehoon; Kim, Junsoo; Lim, Sukbin; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.58, no.3, pp.600 - 613, 2023-03

    4
    Accelerating Large-Scale Graph-Based Nearest Neighbor Search on a Computational Storage Platform

    Kim, Ji-Hoon; Park, Yeo-Reum; Do, Jaeyoung; et al, IEEE TRANSACTIONS ON COMPUTERS, v.72, no.1, pp.278 - 290, 2023-01

    5
    Accelerating Deep Convolutional Neural Networks Using Number Theoretic Transform

    Hong, Seongmin; Arthanto, Yashael Faith; Kim, Joo-Young, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, v.70, no.1, pp.315 - 326, 2023-01

    6
    Federated Onboard-Ground Station Computing with Weakly Supervised Cascading Pyramid Attention Network for Satellite Image Analysis

    Kim, Taewoo; Jeon, Minsu; Lee, Changha; et al, IEEE ACCESS, v.10, pp.117315 - 117333, 2022-11

    7
    OpenMDS: An Open-Source Shell Generation Framework for High-Performance Design on Xilinx Multi-Die FPGAs

    Shin, Gyeongcheol; Kim, Junsoo; Kim, Joo-Young, IEEE COMPUTER ARCHITECTURE LETTERS, v.21, no.2, pp.101 - 104, 2022-07

    8
    An Overview of Processing-in-Memory Circuits for Artificial Intelligence and Machine Learning

    Kim, Donghyuk; Yu, Chengshuo; Xie, Shanshan; et al, IEEE JOURNAL ON EMERGING AND SELECTED TOPICS IN CIRCUITS AND SYSTEMS, v.12, no.2, pp.338 - 353, 2022-06

    9
    Guest Editorial Revolution of AI and Machine Learning With Processing-in-Memory (PIM): From Systems, Architectures, to Circuits

    Kim, Tony Tae-Hyoung; Kim, Bongjin; Kim, Joo-Young; et al, IEEE JOURNAL ON EMERGING AND SELECTED TOPICS IN CIRCUITS AND SYSTEMS, v.12, no.2, pp.333 - 337, 2022-06

    10
    Design of Processing-in-Memory With Triple Computational Path and Sparsity Handling for Energy-Efficient DNN Training

    Han, Wontak; Heo, Jaehoon; Kim, Junsoo; et al, IEEE JOURNAL ON EMERGING AND SELECTED TOPICS IN CIRCUITS AND SYSTEMS, v.12, no.2, pp.354 - 366, 2022-06

    11
    Advanced AI Hardware Designs Based on FPGAs

    Kim, Joo-Young, ELECTRONICS, v.10, no.20, 2021-10

    12
    Z-PIM: A Sparsity-Aware Processing-in-Memory Architecture With Fully Variable Weight Bit-Precision for Energy-Efficient Deep Neural Networks

    Kim, Ji-Hoon; Lee, Juhyoung; Lee, Jinsu; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.56, no.4, pp.1093 - 1104, 2021-04

    13
    FPGA based neural network accelerators

    Kim, Joo-Young, HARDWARE ACCELERATOR SYSTEMS FOR ARTIFICIAL INTELLIGENCE AND MACHINE LEARNING, v.122, pp.135 - 165, 2021

    14
    An Ultra-low-power Mixed-mode Face Recognition Processor for Always-on User Authentication in Mobile Device

    Kim, Ji-Hoon; Kim, Changhyeon; Kim, Kwantae; et al, JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, v.20, no.6, pp.499 - 509, 2020-12

    15
    CONFIGURABLE CLOUDS

    Caulfield, Adrian M.; Chung, Eric S.; Putnam, Andrew; et al, IEEE MICRO, v.37, no.3, pp.52 - 61, 2017-05

    16
    A Reconfigurable Fabric for Accelerating Large-Scale Datacenter Services

    Putnam, Andrew; Caulfield, Adrian M.; Chung, Eric S.; et al, COMMUNICATIONS OF THE ACM, v.59, no.11, pp.114 - 122, 2016-11

    17
    A RECONFIGURABLE FABRIC FOR ACCELERATING LARGE-SCALE DATACENTER SERVICES

    Putnam, Andrew; Caulfield, Adrian M.; Chung, Eric S.; et al, IEEE MICRO, v.35, no.3, pp.10 - 22, 2015-05

    18
    A 320 mW 342 GOPS Real-Time Dynamic Object Recognition Processor for HD 720p Video Streams

    Oh, Jin-Wook; Kim, Gyeonghoon; Park, Jun-Young; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.48, no.1, pp.33 - 45, 2013-01

    19
    LOW-POWER, REAL-TIME OBJECT-RECOGNITION PROCESSORS FOR MOBILE VISION SYSTEMS

    Oh, Jin-Wook; Kim, Gyeong-Hoon; Hong, In-Joon; et al, IEEE MICRO, v.32, no.6, pp.38 - 50, 2012-11

    20
    A 92-mW Real-Time Traffic Sign Recognition System With Robust Illumination Adaptation and Support Vector Machine

    Park, Jun-Young; Kwon, Joon-Soo; Oh, Jin-Wook; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.47, no.11, pp.2711 - 2723, 2012-11

    Load more items
    Loading...

    rss_1.0 rss_2.0 atom_1.0