(A) reconfigurable 1T1C eDRAM-based spiking neural network computing-in-memory processor for high system-level efficiency높은 시스템 효율을 위한 재구성 가능한 스파이킹 심층 신경망 인메모리 1T1C eDRAM 프로세서

Cited 0 time in webofscience Cited 0 time in scopus
  • Hit : 2
  • Download : 0
Spiking Neural Network (SNN) Computing-In-Memory (CIM) was proposed for high macro-level energy efficiency. However, system-level energy efficiency is limited by EMA due to a large intermediate activation footprint requirement. To reduce the EMA, a large capacity SNN CIM is needed to load tons of weights in the CIM. This paper proposes a high-density 1T1C eDRAM-based SNN CIM processor for supporting high system-level energy efficiency with two key features: 1) High-density and low-power Reconfigurable Neuro-Cell Array (ReNCA) for memory and SNN peripheral logic using a charge pump and reusing 1T1C cell array, achieving 41% area and 90% power reduction compared to previous work. 2) Reconfigurable CIM architecture with dual-mode ReNCA and Dynamic Adjustable Neuron Link (DAN Link) for layer fusion increases system-level efficiency including intermediate and weight EMA. It achieves high system-level energy efficiency of 157.15TOPS/W at CIFAR 10 (ResNet18).
Advisors
유회준researcher
Description
한국과학기술원 :전기및전자공학부,
Publisher
한국과학기술원
Issue Date
2023
Identifier
325007
Language
eng
Description

학위논문(석사) - 한국과학기술원 : 전기및전자공학부, 2023.8,[iii, 18 p. :]

Keywords

PIM▼a뉴로모픽▼a1T1C▼a메모리▼a재구성가능한; Processing-in-memory▼aComputing-in-memory▼aSpiking neural network▼a1T1C▼aDRAM▼aReconfigurable▼aSystem efficiency

URI
http://hdl.handle.net/10203/320665
Link
http://library.kaist.ac.kr/search/detail/view.do?bibCtrlNo=1045894&flag=dissertation
Appears in Collection
EE-Theses_Master(석사논문)
Files in This Item
There are no files associated with this item.

qr_code

  • mendeley

    citeulike


rss_1.0 rss_2.0 atom_1.0