(A) reconfigurable 1T1C eDRAM-based spiking neural network computing-in-memory processor for high system-level efficiency높은 시스템 효율을 위한 재구성 가능한 스파이킹 심층 신경망 인메모리 1T1C eDRAM 프로세서

Cited 0 time in webofscience Cited 0 time in scopus
  • Hit : 3
  • Download : 0
DC FieldValueLanguage
dc.contributor.advisor유회준-
dc.contributor.authorKim, Seryeong-
dc.contributor.author김세령-
dc.date.accessioned2024-07-25T19:31:12Z-
dc.date.available2024-07-25T19:31:12Z-
dc.date.issued2023-
dc.identifier.urihttp://library.kaist.ac.kr/search/detail/view.do?bibCtrlNo=1045894&flag=dissertationen_US
dc.identifier.urihttp://hdl.handle.net/10203/320665-
dc.description학위논문(석사) - 한국과학기술원 : 전기및전자공학부, 2023.8,[iii, 18 p. :]-
dc.description.abstractSpiking Neural Network (SNN) Computing-In-Memory (CIM) was proposed for high macro-level energy efficiency. However, system-level energy efficiency is limited by EMA due to a large intermediate activation footprint requirement. To reduce the EMA, a large capacity SNN CIM is needed to load tons of weights in the CIM. This paper proposes a high-density 1T1C eDRAM-based SNN CIM processor for supporting high system-level energy efficiency with two key features: 1) High-density and low-power Reconfigurable Neuro-Cell Array (ReNCA) for memory and SNN peripheral logic using a charge pump and reusing 1T1C cell array, achieving 41% area and 90% power reduction compared to previous work. 2) Reconfigurable CIM architecture with dual-mode ReNCA and Dynamic Adjustable Neuron Link (DAN Link) for layer fusion increases system-level efficiency including intermediate and weight EMA. It achieves high system-level energy efficiency of 157.15TOPS/W at CIFAR 10 (ResNet18).-
dc.languageeng-
dc.publisher한국과학기술원-
dc.subjectPIM▼a뉴로모픽▼a1T1C▼a메모리▼a재구성가능한-
dc.subjectProcessing-in-memory▼aComputing-in-memory▼aSpiking neural network▼a1T1C▼aDRAM▼aReconfigurable▼aSystem efficiency-
dc.title(A) reconfigurable 1T1C eDRAM-based spiking neural network computing-in-memory processor for high system-level efficiency-
dc.title.alternative높은 시스템 효율을 위한 재구성 가능한 스파이킹 심층 신경망 인메모리 1T1C eDRAM 프로세서-
dc.typeThesis(Master)-
dc.identifier.CNRN325007-
dc.description.department한국과학기술원 :전기및전자공학부,-
dc.contributor.alternativeauthorYoo, Hoi-jun-
Appears in Collection
EE-Theses_Master(석사논문)
Files in This Item
There are no files associated with this item.

qr_code

  • mendeley

    citeulike


rss_1.0 rss_2.0 atom_1.0