Browse "School of Electrical Engineering(전기및전자공학부)" by Title 

Showing results 24181 to 24200 of 51065

24181
Low-speed drone detection and speed estimation method through singular value decomposition in LFM pulse radar

장유호; 박성욱, 2023년도 한국전자파학회 동계종합학술대회, (사)한국전자파학회, 2023-02-16

24182
Low-SWaP EO sensor-based Robust Aircraft Detection for DAA Technology in UAS Integration

Lee, Jaehyun; Lee, Hanseob; Shim, David Hyunchul, AIAA Information Systems-Infotech At Aerospace Conference, American Institute of Aeronautics and Astronautics, 2019-01-07

24183
Low-Temperature and Corrosion-Resistant Gas Diffusion Multibarrier with UV and Heat Rejection Capability-A Strategy to Ensure Reliability of Organic Electronics

Kwon, Jeong Hyun; Jeon, Yongmin; Kim, Do-Geun; Lee, Seunghun; Lee, Sangmin; Kim, Taek-Soo; Choi, Kyung Cheol, ACS APPLIED MATERIALS & INTERFACES, v.11, no.18, pp.16776 - 16784, 2019-05

24184
Low-Temperature and High-Quality Growth of Bi2O2 Se Layered Semiconductors via Cracking Metal-Organic Chemical Vapor Deposition

Kang, Minsoo; Chai, Hyun-Jun; Jeong, Han Beom; Park, Cheolmin; Jung, In-young; Park, Eunpyo; Çiçek, Mert Miraç; et al, ACS NANO, v.15, no.5, pp.8715 - 8723, 2021-05

24185
Low-Temperature Annealing for Highly Conductive Lead Chalcogenide Quantum Dot Solids

Baik, Seung Jae; Kim, Kyungnam; Lim, Koeng Su; Jung, SoMyung; Park, Yun-Chang; Han, Dong Geon; Lim, Sooyeon; et al, JOURNAL OF PHYSICAL CHEMISTRY C, v.115, no.3, pp.607 - 612, 2011-01

24186
Low-Temperature Deuterium Annealing to Improve Performance and Reliability in a MOSFET

Yu, Ji-Man; Wang, Dong-Hyun; Ku, Ja-Yun; Han, Joon-Kyu; Jung, Dae-Han; Park, Jun-Young; Choi, Yang-Kyu, SOLID-STATE ELECTRONICS, v.197, 2022-11

24187
Low-temperature fabrication of Ge-On-Insulator substrate with various channel orientation and its analysis for monolithic 3D integration = 모놀리식 3D 집적을 위한 다양한 기판 방향 별 Ge-On-Insulator 저온 공정 및 분석link

Lee, Seung Woo, 한국과학기술원, 2022

24188
Low-Temperature Fabrication of Robust, Transparent, and Flexible Thin-Film Transistors with a Nanolaminated Insulator

Kwon, Jeong Hyun; Park, Junhong; Lee, Myung Keun; Park, Jeong Woo; Jeon, Yongmin; Shin, Jeong Bin; Nam, Minwoo; et al, ACS APPLIED MATERIALS & INTERFACES, v.10, no.18, pp.15829 - 15840, 2018-05

24189
Low-Temperature Growth of Ferroelectric Hf0.5Zr0.5O2 Thin Films Assisted by Deep Ultraviolet Light Irradiation

Joh, Hyunjin; Anoop, Gopinathan; Lee, Won-June; Das, Dipjyoti; Lee, Jun Young; Kim, Tae Yeon; Kim, Hoon; et al, ACS APPLIED ELECTRONIC MATERIALS, v.3, no.3, pp.1244 - 1251, 2021-03

24190
Low-Thermal-Budget Doping of 2D Materials in Ambient Air Exemplified by Synthesis of Boron-Doped Reduced Graphene Oxide

Cha, Jun-Hwe; Kim, Dong-Ha; Park, Cheolmin; Choi, Seon-Jin; Jang, Ji-Soo; Yang, Sang Yoon; Kim, Il-Doo; et al, ADVANCED SCIENCE, v.7, no.7, pp.1903318, 2020-04

24191
LOW-THRESHOLD CURRENT-DENSITY INGAAS SURFACE-EMITTING LASERS WITH PERIODIC GAIN ACTIVE STRUCTURE

YOO, BS; Park, HyoHoon; LEE, EH, ELECTRONICS LETTERS, v.30, no.13, pp.1060 - 1061, 1994-06

24192
Low-threshold-current and single-mode surface-emitting laser buried in amorphous GaAs

Park, HyoHoon; Yoo, BS; Chu, HY; Lee, EH; Park, MS; Ahn, BT, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES REVIEW PAPERS, v.35, no.2B, pp.1378 - 1381, 1996-02

24193
Low-Voltage High-Performance Pentacene Thin-Film Transistors With Ultrathin PVP/High-kappa HfLaO Hybrid Gate Dielectric

Shin, WC; Moon, H; Yoo, Seunghyup; Li, YX; Cho, Byung Jin, IEEE ELECTRON DEVICE LETTERS, v.31, no.11, pp.1308 - 1310, 2010-11

24194
Low-Voltage Low-Power High dB-Linear CMOS Exponential Function Generator using Highly-Linear V-I Converter

Duong, Q.-H.; Nguyen, T.-K.; Lee, Sang-Gug, Proceedings of the 2003 International Symposium on Low Power Electronics and Design, (ISLPED'03), pp.349 - 352, 2003-08-25

24195
Low-voltage low-power K-band balanced RTD-based MMIC VCO

Choi, S.; Yang, Kyounghoon, 2006 IEEE MTT-S International Microwave Symposium Digest, pp.743 - 746, IEEE, 2006-06-11

24196
Low-Voltage Nonvolatile Graphene Memory Based on Ion Transport

Lu, Hao; Kinder, Erich; Vahala, Josh; Hwang, Wan Sik; Gong, Cheng; Cho, Kyeongjae; Hong, Seul Ki; et al, 9th International Nanotechnology Conference on Communication and Cooperation, INC, 2013-05-14

24197
Low-voltage nonvolatile multi-bit memory fabricated by the patterning and transferring of ferroelectric polymer film

Kim, Woo Young; Lee, Hee-Chul, ORGANIC ELECTRONICS, v.19, pp.1 - 6, 2015-04

24198
Low-voltage, high dB-linear, exponential V-V converter

Duong Q.-H.; Krizhanovskii V.; Choi H.-C.; Yun S.-J.; Yang M.-S.; Lee, Sang-Gug, ELECTRONICS LETTERS, v.40, no.17, pp.1032 - 1034, 2004-08

24199
Low-voltage, low-power and high-gain mixer based on unbalanced mixer cell

Myoung, N.G.; Kang, H.S.; Kim, S.T.; Choi, B.G.; Park, S.-S.; Park, Chul Soon, 1st European Microwave Integrated Circuits Conference, EuMIC 2006, pp.395 - 398, 2006-09-10

24200
Low-voltage, low-power CMOS operation transconductance amplifier with rail-to-rail differential input range

Nguyen, T.-K.; Lee, Sang-Gug, ISCAS 2006: 2006 IEEE International Symposium on Circuits and Systems, pp.1639 - 1642, 2006-05-21

Discover

Type

. next

Open Access

Date issued

. next

Subject

. next

rss_1.0 rss_2.0 atom_1.0