Browse by Type Article

Showing results 35581 to 35600 of 100637

35581
Fabrication of 10 nm-Scale Complex 3D Nanopatterns with Multiple Shapes and Components by Secondary Sputtering Phenomenon

Jeon, Hwan-Jin; Jeong, Hyeon Su; Kim, Yun Ho; Jung, Woo-Bin; Kim, Jeong Yeon; Jung, HeeTae, ACS NANO, v.8, no.2, pp.1204 - 1212, 2014-02

35582
Fabrication of 10-Channel Polymer Thermo-Optic Digital Optical Switch Array

Han, Young-Tak; Shin, Jang-Uk; Park, Sang-Ho; Han, Sang-Pil; Baek, Yong-Soon; Lee, Chul-Hee; Noh, Young-Ouk; et al, IEEE PHOTONICS TECHNOLOGY LETTERS, v.21, no.20, pp.1556 - 1558, 2009-10

35583
Fabrication of 15 nm curvature radius polymer tip probe on an optical fiber via two-photon polymerization and O-2-plasma ashing

Jung, Byung Je; Kong, Hong-Jin; Cho, Yong-Hoon; Park, Chung-Hyun; Kim, Min Kwan; Jeon, Byoung Goo; Yang, Dong-Yol; et al, CURRENT APPLIED PHYSICS, v.13, no.9, pp.2064 - 2069, 2013-11

35584
Fabrication of 32x32 Flat Panel Display Using Liquid Crystal

J.G.Kim; C.K.Kim; C.M.Kyung, 전기학회논문지, v.23, no.1, pp.46 - 49, 1986-01

35585
Fabrication of 3D Microstructures with single UV Lithography Step

Han, Man Hee; Lee, Woon Seob; Lee, Sung-Keun; Lee, Seung Seob, JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, v.2, no.4, pp.268 - 272, 2002

35586
Fabrication of 3D ZnO hollow shell structures by prism holographic lithography and atomic layer deposition

Park, Sung-Gyu; Jeon, Taeyoon; Jeon, Hwan-Chul; Yang, Seung-Man; Kwon, Jung-Dae; Mun, Chae-Won; Cho, Byungjin; et al, JOURNAL OF MATERIALS CHEMISTRY C, v.2, no.11, pp.1957 - 1961, 2014

35587
Fabrication of 50 nm scale Pt nanostructures by block copolymer (BCP) and its characteristics of surface-enhanced Raman scattering (SERS)

Shin, Jae Hee; Kim, Hyun Gu; Baek, Gwang Min; Kim, Reehyang; Jeon, Suwan; Mun, Jeong Ho; Lee, Han-Bo-Ram; et al, RSC ADVANCES, v.6, no.75, pp.70756 - 70762, 2016

35588
Fabrication of 50 nm trigate silicon on insulator metal-oxide-silicon field-effect transistor without source/drain activation annealing

Im, Kiju; Cho, Won-Ju; Ahn, Chang-Geun; Yang, Jong-Heon; Oh, Jihun; Lee, Seongjae; Hwang, Hyunsang, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, v.43, no.5A, pp.2438 - 2441, 2004-05

35589
Fabrication of 50-nm gate SOI n-MOSFETs using novel plasma-doping technique

Cho, WJ; Ahn, CG; Im, KJ; Yang, JH; Oh, Jihun; Baek, IB; Lee, S, IEEE ELECTRON DEVICE LETTERS, v.25, no.6, pp.366 - 368, 2004-06

35590
Fabrication of a 0.1-mu m T-shaped gate with wide head for super low noise HEMTs

Choi, SS; Lee, JH; Yoon, HS; Chung, HB; Lee, SY; Yoo, Hyung Joun, JOURNAL OF THE KOREAN PHYSICAL SOCIETY, v.28, no.6, pp.768 - 772, 1995-12

35591
FABRICATION OF A 2-DIMENSIONAL PHASED-ARRAY OF VERTICAL-CAVITY SURFACE-EMITTING LASERS

Yoo, Hoi-Jun; SCHERER, A; HARBISON, JP; FLOREZ, LT; PAEK, EG; VANDERGAAG, BP; HAYES, JR; et al, APPLIED PHYSICS LETTERS, v.56, no.13, pp.1198 - 1200, 1990-03

35592
Fabrication of a bunch of sub-30-nm nanofibers inside microchannels using photopolymerization via a long exposure technique

Park, Sang Hu; Lim, Tae Woo; Yang, Dong-Yol; Cho, Nam Chul; Lee, Kwang-Sup, APPLIED PHYSICS LETTERS, v.89, no.17, 2006-10

35593
Fabrication of a circular PDMS microchannel for constructing a three-dimensional endothelial cell layer

Choi, Jong Seob; Piao, Yunxian; SEO, TAE SEOK, BIOPROCESS AND BIOSYSTEMS ENGINEERING, v.36, no.12, pp.1871 - 1878, 2013-12

35594
Fabrication of a Combustion-Reacted High-Performance ZnO Electron Transport Layer with Silver Nanowire Electrodes for Organic Solar Cells

Park, Minkyu; Lee, Sang Hoon; Kim, Donghyuk; Kang, Juhoon; Lee, Jung-Yong; Han, Seung Min, ACS APPLIED MATERIALS & INTERFACES, v.10, no.8, pp.7214 - 7222, 2018-02

35595
Fabrication of a cylindrically textured YBCO film on a YSZ cylinder and its critical currents

Goo, D; Kim, J; Youm, Do-Jun, PHYSICA C, v.282, pp.609 - 610, 1997

35596
Fabrication of a disposable biochip for measuring percent hemoglobin Alc (%HbAlc)

Son, SU; Seo, JH; Choi, YH; Lee, Seung Seob, SENSORS AND ACTUATORS A-PHYSICAL, v.130, no.31, pp.267 - 272, 2006-08

35597
Fabrication of a dual-gate-controlled Coulomb blockade transistor based on a silicon-on-insulator structure

b.t.lee; j.w.park; k.s.park; c.h.lee; s.w.paik; s.d.lee; jung.b.choi; et al, SEMICONDUCTOR SCIENCE AND TECHNOLOGY, v.13, no.12, pp.1463 - 1467, 1998-12

35598
Fabrication of a flip chip solder bump using a thin mold and ultrasonic filling

Nam, Dong Jin; Lee, Jae Hak; Lee, Jihye; Yoo, Choong Don, JOURNAL OF MICROMECHANICS AND MICROENGINEERING, v.17, no.9, pp.1863 - 1869, 2007-09

35599
Fabrication of a freestanding micro mechanical structure using electroplated thick metal with a HAR SU-8 mold

Lee, Jin Seung; Lee, Seung Seob, MICROSYSTEM TECHNOLOGIES-MICRO-AND NANOSYSTEMS-INFORMATION STORAGE AND PROCESSING SYSTEMS, v.15, no.2, pp.287 - 296, 2009-02

35600
Fabrication of a graded-index polymer optical fiber preform by using a centrifugal force

Im, SH; Suh, DJ; Park, OOk; Cho, H; Choi, JS; Park, JK; Hwang, JT, KOREAN JOURNAL OF CHEMICAL ENGINEERING, v.19, no.3, pp.505 - 509, 2002-05

rss_1.0 rss_2.0 atom_1.0