81.6 GOPS Object Recognition Processor Based on a Memory-Centric NoC

Cited 13 time in webofscience Cited 0 time in scopus
  • Hit : 563
  • Download : 0
DC FieldValueLanguage
dc.contributor.authorKim, Dong-Hyunko
dc.contributor.authorKim, Kwan-Hoko
dc.contributor.authorKim, Joo-Youngko
dc.contributor.authorLee, Seung-Jinko
dc.contributor.authorLee, Se-Joongko
dc.contributor.authorYoo, Hoi-Junko
dc.date.accessioned2013-03-09T04:51:15Z-
dc.date.available2013-03-09T04:51:15Z-
dc.date.created2012-02-06-
dc.date.created2012-02-06-
dc.date.created2012-02-06-
dc.date.created2012-02-06-
dc.date.issued2009-03-
dc.identifier.citationIEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, v.17, no.3, pp.370 - 383-
dc.identifier.issn1063-8210-
dc.identifier.urihttp://hdl.handle.net/10203/95399-
dc.description.abstractFor mobile intelligent robot applications, an 81.6 GOPS object recognition processor is implemented. Based on an analysis of the target application, the chip architecture and hardware features are decided. The proposed processor aims to support both task-level and data-level parallelism. Ten processing elements are integrated for the task-level parallelism and single instruction multiple data (SIMD) instruction is added to exploit the data-level parallelism. The Memory-Centric network-on-chip7 (NoC) is proposed to support efficient pipelined task execution using the ten processing elements. It also provides coherence and consistency schemes tailored for 1-to-N and M-to-1 data transactions in a task-level pipeline. For further performance gain, the visual image processing memory is also implemented. The chip is fabricated in a 0.18-mu m CMOS technology and computes the key-point localization stage of the SIFT object recognition twice faster than the 2.3 GHz Core 2 Duo processor.-
dc.languageEnglish-
dc.publisherIEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC-
dc.title81.6 GOPS Object Recognition Processor Based on a Memory-Centric NoC-
dc.typeArticle-
dc.identifier.wosid000263604900006-
dc.identifier.scopusid2-s2.0-63149173107-
dc.type.rimsART-
dc.citation.volume17-
dc.citation.issue3-
dc.citation.beginningpage370-
dc.citation.endingpage383-
dc.citation.publicationnameIEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS-
dc.identifier.doi10.1109/TVLSI.2008.2011226-
dc.contributor.localauthorKim, Joo-Young-
dc.contributor.localauthorYoo, Hoi-Jun-
dc.description.isOpenAccessN-
dc.type.journalArticleArticle-
dc.subject.keywordAuthorMultiprocessing-
dc.subject.keywordAuthornetwork-on-chip (NoC)-
dc.subject.keywordAuthorobject recognition-
dc.subject.keywordAuthorVLSI-
dc.subject.keywordPlusSYSTEMS-
Appears in Collection
EE-Journal Papers(저널논문)
Files in This Item
There are no files associated with this item.
This item is cited by other documents in WoS
⊙ Detail Information in WoSⓡ Click to see webofscience_button
⊙ Cited 13 items in WoS Click to see citing articles in records_button

qr_code

  • mendeley

    citeulike


rss_1.0 rss_2.0 atom_1.0