Minimizing Leakage Power of Sequential Circuits through Mixed-V-t Flip-Flops and Multi-V-t Combinational Gates

Cited 1 time in webofscience Cited 0 time in scopus
  • Hit : 412
  • Download : 0
DC FieldValueLanguage
dc.contributor.authorKim, Jaehyunko
dc.contributor.authorOh, Chungkiko
dc.contributor.authorShin, Youngsooko
dc.date.accessioned2013-03-09T01:49:56Z-
dc.date.available2013-03-09T01:49:56Z-
dc.date.created2012-02-06-
dc.date.created2012-02-06-
dc.date.created2012-02-06-
dc.date.created2012-02-06-
dc.date.issued2009-12-
dc.identifier.citationACM TRANSACTIONS ON DESIGN AUTOMATION OF ELECTRONIC SYSTEMS, v.15, no.1-
dc.identifier.issn1084-4309-
dc.identifier.urihttp://hdl.handle.net/10203/95031-
dc.description.abstractThe current use of multi-V-t to control leakage power targets combinational gates, even though sequential elements such as flip-flops and latches also contribute appreciable leakage. We can, nevertheless, apply multi-V-t to flip-flops, but few can take advantage of high-V-t, which causes abrupt changes in timing. We combine low-and high-V-t at the transistor level to design mixed-V-t flip-flops with reduced leakage, an unchanged footprint, and a small increase in either setup time or clock-to-Q delay, but not both. An allocation algorithm for two V(t)s determines the V-t (mixed, high, or low) of each flip-flop and the V-t of each combinational gate (high or low) in a sequential circuit. Experiments with 65-nm technology show an average leakage saving of 42% compared to conventional multi-V-t approaches; the leakage of flip-flops alone is cut by 78%. This saving is largely unaffected by die-to-die or within-die process variations, which we show through simulations. Standard deviation of leakage caused by process variation is also reduced due to less use of low-V-t devices. We also extend our approach to three V(t)s, and obtain a further 14% reduction in leakage.-
dc.languageEnglish-
dc.publisherASSOC COMPUTING MACHINERY-
dc.titleMinimizing Leakage Power of Sequential Circuits through Mixed-V-t Flip-Flops and Multi-V-t Combinational Gates-
dc.typeArticle-
dc.identifier.wosid000273323600004-
dc.identifier.scopusid2-s2.0-74049093998-
dc.type.rimsART-
dc.citation.volume15-
dc.citation.issue1-
dc.citation.publicationnameACM TRANSACTIONS ON DESIGN AUTOMATION OF ELECTRONIC SYSTEMS-
dc.identifier.doi10.1145/1640457.1640461-
dc.contributor.localauthorShin, Youngsoo-
dc.description.isOpenAccessN-
dc.type.journalArticleArticle-
dc.subject.keywordAuthorAlgorithms-
dc.subject.keywordAuthorDesign-
dc.subject.keywordAuthorFlip-flop-
dc.subject.keywordAuthorleakage current-
dc.subject.keywordAuthorlow power-
dc.subject.keywordAuthormixed-V-t-
dc.subject.keywordAuthorsequential circuit-
dc.subject.keywordPlusVOLTAGE-
dc.subject.keywordPlusCMOS-
dc.subject.keywordPlusSCHEME-
Appears in Collection
EE-Journal Papers(저널논문)
Files in This Item
There are no files associated with this item.
This item is cited by other documents in WoS
⊙ Detail Information in WoSⓡ Click to see webofscience_button
⊙ Cited 1 items in WoS Click to see citing articles in records_button

qr_code

  • mendeley

    citeulike


rss_1.0 rss_2.0 atom_1.0