Browse "College of Engineering(공과대학)" by Type Conference

Showing results 1161 to 1180 of 90912

1161
A 124.9fps Memory-Efficient Hand Segmentation Processor for Hand Gesture in Mobile Devices

Yoo, Hoi-Jun; Sungpill Choi; Seongwook Park; Gyeonghoon Kim, IEEE International Symposium on Circuits and Systems (ISCAS), IEEE, 2015-05-25

1162
A 125,582 vector/s Throughput and 95.1% Accuracy ANN Searching Processor with Neuro-Fuzzy Vision Cache for Real-time Object Recognition

Hong, Injoon; Park, Junyoung; Kim, Gyeonghoon; Oh, Jinwook; Yoo, Hoi-Jun, Symposium on VLSI Circuits (VLSIC), 2013, pp.C184 - C185, IEEE, 2013-06-14

1163
A 125GOPS 583mW Network-on-Chip Based Parallel Processor with Bio-inspired Visual-Attention Engine

Kim, Kwanho; Lee, Seungjin; Kim, Joo-Young; Kim, Minsu; Kim, Donghyun; Woo, Jeong-Ho; Yoo, Hoi-Jun, 2008 IEEE International Solid State Circuits Conference, ISSCC, pp.308 - 310, IEEE, 2008-02-03

1164
A 126.1mW Real-Time Natural UI/UX Processor with Embedded Deep-Learning Core for Low-Power Smart Glasses

Yoo, Hoi Jun; Park, Seongwook; Choi, Sungpill; Lee, Jinmook, IEEE International Solid-State Circuits, IEEE, 2016-02

1165
A 12Gb/s 0.92mW/Gb/s Forwarded Clock Receiver Based on ILO with 60MHz Jitter Tracking Bandwidth Variation Using Duty Cycle Adjuster in 65nm CMOS

Kim, Young Ju; Kim, Lee Sup, 2013 IEEE Symposium on VLSI Circuits, pp.C236 - C237, IEEE, 2013-06-14

1166
A 13 dB IIP3 improved low-power CMOS RF programmable gain amplifier using differential circuit transconductance linearization for various terrestrial mobile D-TV applications

Kim, T.W.; Kim, B.; Cho, Y.; Kim, B.; Lee, Kwyro, 2005 Symposium on VLSI Circuits, v.2005, pp.344 - 347, 2005-06-16

1167
A 13.56 MHz time-interleaved resonant-voltage-mode wireless-power receiver with isolated resonator and quasi-resonant boost converter for implantable systems

Shin, Seun; Cho, Gyu-Hyeong; Choi, Minseong; Koh, Seok Tae; Yang, Yujin; Jung, Seungchul; Sohn, Young-Hoon; et al, 2018 IEEE International Solid-State Circuits Conference, ISSCC 2018, pp.154 - 156, IEEE, 2018-02-14

1168
A 13.56MHz receiver SoC for multi-standard RFID reader

Seo, M. W.; Choi, Y. C.; Kim, Y.-H.; Yoo, Hyung Joun, 2008 IEEE International Conference on Electron Devices and Solid-State Circuits, EDSSC, pp.1 - 4, IEEE, 2008-12-08

1169
A 13.56MHz receiver SoC for multi-standard RFID reader

Seo, Min-Woo; Choi, Yong-Chang; Kim, Young-Han; Yoo, Hyung-Joun, 2008 IEEE International Conference on Electron Devices and Solid-State Circuits, EDSSC, IEEE, 2008-12-09

1170
A 13.56MHz RFID transceiver SoC for multi-standard reader

Seo, M. W.; Choi, Y. C.; Kim, Y. H.; Yoo, Hyung Joun, 2009 IEEE Radio and Wireless Symposium, RWS 2009, pp.75 - 78, IEEE, 2009-01-18

1171
A 13.56MHz RFID transceiver SoC for multi-standard reader

Seo, Min-Woo; Choi, Yong-Chang; Kim, Young-Han; Yoo, Hyung-Joun, 2009 IEEE Radio and Wireless Symposium, RWS 2009, pp.75 - 78, IEEE, 2009-01-19

1172
A 13.7 TFLOPS/W Floating-point DNN Processor using Heterogeneous Computing Architecture with Exponent-Computing-in-Memory

Lee, Juhyoung; Kim, , Jihoon; Jo,Wooyoung; Kim, Sangyeob; Kim, Sangjin; Lee,Jinsu; Yoo, HoiJun, 35th Symposium on VLSI Circuits, VLSI Circuits 2021, Institute of Electrical and Electronics Engineers Inc., 2021-06

1173
A 130V Triboelectric Energy-Harvesting Interface in .18m BCD with Scalable Multi-Chip-Stacked Bias-Flip and Daisy-Chained Synchronous Signaling Technique

Lee, Jiho; Lee, Sang-Han; Kang, Gyeong-Gu; Kim, JaeHyun; Cho, Gyu-Hyeong; Kim, Hyun-Sik, 2022 IEEE International Solid-State Circuits Conference, ISSCC 2022, pp.474 - 476, Institute of Electrical and Electronics Engineers Inc., 2022-02

1174
A 133.6TOPS/W Compute-In-Memory SRAM Macro with Fully Parallel One-Step Multi-Bit Computation

Choi, Edward; Choi, Injun; Jeon, Chanhee; Yun, Gichan; Yi, Donghyeon; Ha, Sohmyung; Chang, Ik-Joon; et al, 43rd Annual IEEE Custom Integrated Circuits Conference, CICC 2022, Institute of Electrical and Electronics Engineers Inc., 2022-04

1175
A 14 GHz non-contact radar system for long range heart rate detection

Lee, Jee Hoon; Park, Seong Ook, 2013 International Symposium on Antenna and Propagation, SOUTHEAST UNIVERSITY,IEICE,IEEE, 2013-10-23

1176
A 14.2mW 2.55-to-3GHz cascaded PLL with reference injection, 800MHz delta-sigma modulator and 255fs rms integrated jitter in 0.13μm CMOS

Park, Dongmin; Cho, SeongHwan, 2012 IEEE International Solid-State Circuits Conference, ISSCC 2012, pp.344 - 346, IEEE, 2012-02-22

1177
A 141 mu W Sensor SoC on OLED/OPD Substrate for SpO(2)/ExG Monitoring Sticker

Yoo, Hoi Jun; Lee, Yongsu; Lee, Hyunwoo; Jang, Jaeeun; Lee, Jihee; Kim, Minseo; Lee, Jaehyuk; et al, IEEE International Solid-State Circuits (ISSCC), pp.384 - 385, IEEE, 2016-02

1178
A 141.4 mW Low-Power Online Deep Neural Network Training Processor for Real-time Object Tracking in Mobile Devices

Han, Donghyeon; LEE, Jinsu; Lee, Jinmook; Choi, Sungpill; Yoo, Hoi-Jun, IEEE International Symposium on Circuits & Systems, IEEE International Symposium on Circuits & Systems, 2018-05

1179
A 144MHz integrated resonant regulating rectifier with hybrid pulse modulation

Kim, Chul; Ha, Sohmyung; Park, Jiwoong; Akinin, Abraham; Mercier, Patrick P.; Cauwenberghs, Gert, 29th Annual Symposium on VLSI Circuits, VLSI Circuits 2015, pp.C284 - C285, Institute of Electrical and Electronics Engineers Inc., 2015-06

1180
A 1452-% power extraction improvement energy harvesting circuit with simultaneous energy extraction from a piezoelectric transducer and a thermoelectric generator

Yoon, Kye-Seok; Hong, Sung-Wan; Lee, Sang-Han; Choi, Sung-Won; Cho, Gyu-Hyeong, 31st Symposium on VLSI Circuits, pp.C202 - C203, IEEE, 2017-06

rss_1.0 rss_2.0 atom_1.0