Browse "EE-Conference Papers(학술회의논문)" by Author 김상민

Showing results 1 to 6 of 6

1
Module regrouping for minimizing wrapper cells in SoC testing

김상민; 홍정민; 배상민; 신영수, 한국반도체학술대회, 대한전자공학회, 2014-02-25

2
Pulsed-Vdd의 실제 하드웨어 구현

김상민; 백돈규; 안용수; 이동수; 신영수, 한국반도체학술대회, 대한전자공학회, 2015-02-10

3
Thermal issues in 3D IC

신인섭; 김상민; 백승훈; 서문준; 유리은; 신영수, 3차원반도체집적기술 특집, 전자공학회지, 2009-09

4
Timing analysis algorithm for clock gated DETFF based circuits

모민영; 김상민; 신영수, 한국반도체학술대회, 한국반도체학회, 2011-02

5
동작모드 파워 게이팅 회로를 위한 클락 게이팅 합성 기법

한인학; 김상민; 신영수, 대한전자공학회 하계종합학술대회, 대한전자공학회, 2011-06

6
라이브러리 설계와 게이트 사이징을 이용한 이중 모드 회로의 타이밍 최적화

김상민; 신영수, 대한전자공학회 추계학술대회, 대한전자공학회, 2015-11-27

rss_1.0 rss_2.0 atom_1.0