DockerSSD: Containerized In-Storage Processing and Hardware Acceleration for Computational SSDs

Cited 0 time in webofscience Cited 0 time in scopus
  • Hit : 7
  • Download : 0
DC FieldValueLanguage
dc.contributor.authorGouk, Donghyunko
dc.contributor.authorKwon, Miryeongko
dc.contributor.authorBae, Hanyeoreumko
dc.contributor.authorJung, Myoungsooko
dc.date.accessioned2024-09-11T15:00:07Z-
dc.date.available2024-09-11T15:00:07Z-
dc.date.created2023-10-23-
dc.date.issued2024-03-04-
dc.identifier.citationThe 30th IEEE International Symposium on High-Performance Computer Architecture (HPCA), 2024-
dc.identifier.urihttp://hdl.handle.net/10203/322918-
dc.description.abstractProcessing data in storage is an energy-efficient solution to examine massive datasets. However, a general incarnation of such well-known task-offloading model in a real system is unfortunately unsuccessful due to not only poor performance but also many practical challenges, such as limited processing capabilities and high vulnerabilities at the storage-level. We propose DockerSSD, a fully flexible in-storage processing (ISP) model that can run a variety of applications near flash without their source-level modification. Specifically, it enables lightweight OS-level virtualization in modern SSDs, which allows the storage intelligence to be well harmonized with existing computing environment and makes ISP even faster. Instead of developing a vendor-specific ISP to offload, DockerSSD can reuse existing Docker images, create containers as a self-governing execution object in storage, and process data directly where they are in real-time. To this end, we design a new communication method and virtual firmware that operate together to download Docker images and manage their container execution without a change of the existing storage interface and runtime. We further accelerate ISP and reduce the execution latency by automating container-related network and I/O handling data paths over hardware. Our evaluation results show that DockerSSD exhibits 2.0× faster than state-of-the-art ISP models while 1.6× and 2.3× lower power and energy, respectively.-
dc.publisherIEEE Computer Society-
dc.titleDockerSSD: Containerized In-Storage Processing and Hardware Acceleration for Computational SSDs-
dc.typeConference-
dc.type.rimsCONF-
dc.citation.publicationnameThe 30th IEEE International Symposium on High-Performance Computer Architecture (HPCA), 2024-
dc.identifier.conferencecountrySC-
dc.identifier.conferencelocationEdinburgh, Scotland-
dc.contributor.localauthorJung, Myoungsoo-
Appears in Collection
EE-Conference Papers(학술회의논문)
Files in This Item
There are no files associated with this item.

qr_code

  • mendeley

    citeulike


rss_1.0 rss_2.0 atom_1.0