Cerberus: Triple Mode Acceleration of Sparse Matrix and Vector Multiplication

Cited 0 time in webofscience Cited 0 time in scopus
  • Hit : 8
  • Download : 0
DC FieldValueLanguage
dc.contributor.authorHwang, Soojinko
dc.contributor.authorBaek, Daehyeonko
dc.contributor.authorPark, Jongseko
dc.contributor.authorHuh, Jaehyukko
dc.date.accessioned2024-09-03T09:00:12Z-
dc.date.available2024-09-03T09:00:12Z-
dc.date.created2024-08-29-
dc.date.issued2024-06-
dc.identifier.citationACM TRANSACTIONS ON ARCHITECTURE AND CODE OPTIMIZATION, v.21, no.2-
dc.identifier.issn1544-3566-
dc.identifier.urihttp://hdl.handle.net/10203/322578-
dc.description.abstractThe multiplication of sparse matrix and vector (SpMV) is one of the most widely used kernels in high-performance computing as well as machine learning acceleration for sparse neural networks. The design space of SpMV accelerators has two axes: algorithm and matrix representation. There have been two widely used algorithms and data representations. Two algorithms, scalar multiplication and dot product, can be combined with two sparse data representations, compressed sparse and bitmap formats for the matrix and vector. Although the prior accelerators adopted one of the possible designs, it is yet to be investigated which design is the best one across different hardware resources and workload characteristics. This paper first investigates the impact of design choices with respect to the algorithm and data representation. Our evaluation shows that no single design always outperforms the others across different workloads, but the two best designs (i.e., compressed sparse format and bitmap format with dot product) have complementary performance with trade-offs incurred by the matrix characteristics. Based on the analysis, this study proposes Cerberus, a triple-mode accelerator supporting two sparse operation modes in addition to the base dense mode. To allow such multi-mode operation, it proposes a prediction model based on matrix characteristics under a given hardware configuration, which statically selects the best mode for a given sparse matrix with its dimension and density information. Our experimental results show that Cerberus provides 12.1x performance improvements from a dense-only accelerator, and 1.5x improvements from a fixed best SpMV design.-
dc.languageEnglish-
dc.publisherASSOC COMPUTING MACHINERY-
dc.titleCerberus: Triple Mode Acceleration of Sparse Matrix and Vector Multiplication-
dc.typeArticle-
dc.identifier.wosid001242588100018-
dc.identifier.scopusid2-s2.0-85194362729-
dc.type.rimsART-
dc.citation.volume21-
dc.citation.issue2-
dc.citation.publicationnameACM TRANSACTIONS ON ARCHITECTURE AND CODE OPTIMIZATION-
dc.identifier.doi10.1145/3653020-
dc.contributor.localauthorPark, Jongse-
dc.contributor.localauthorHuh, Jaehyuk-
dc.description.isOpenAccessN-
dc.type.journalArticleArticle-
dc.subject.keywordAuthorSparse Matrix-Vector Multiplication (SpMV)-
dc.subject.keywordAuthoraccelerator-
Appears in Collection
CS-Journal Papers(저널논문)
Files in This Item
There are no files associated with this item.

qr_code

  • mendeley

    citeulike


rss_1.0 rss_2.0 atom_1.0