An Overview of Computing-in-Memory Circuits With DRAM and NVM

Cited 1 time in webofscience Cited 0 time in scopus
  • Hit : 4
  • Download : 0
Computing-in-memory (CIM) has emerged as an energy-efficient hardware solution for machine learning and AI. While static random access memory (SRAM)-based CIM has been prevalent, growing attention is directed towards leveraging dynamic random access memory (DRAM) and non-volatile memory (NVM) with its unique characteristics such as high-density and non-volatility. This brief reviews the evolving trends in DRAM and NVM-based CIM, which have faced unique challenges that arise from SRAM despite their advantages. For instance, the DRAM cell's density comes with leakage and refresh issues, impacting efficiency and computing accuracy. NVM-CIM faces computing accuracy challenges of resistance-based computation with low signal margins and non-linear characteristics. This tutorial discusses the current status and future directions in DRAM-CIM and NVM-CIM research, which address the abovementioned challenge.
Publisher
IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC
Issue Date
2024-03
Language
English
Article Type
Article
Citation

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.71, no.3, pp.1626 - 1631

ISSN
1549-7747
DOI
10.1109/TCSII.2023.3333851
URI
http://hdl.handle.net/10203/322542
Appears in Collection
EE-Journal Papers(저널논문)
Files in This Item
There are no files associated with this item.
This item is cited by other documents in WoS
⊙ Detail Information in WoSⓡ Click to see webofscience_button
⊙ Cited 1 items in WoS Click to see citing articles in records_button

qr_code

  • mendeley

    citeulike


rss_1.0 rss_2.0 atom_1.0