Containerized In-Storage Processing Model and Hardware Acceleration for Fully-Flexible Computational SSDs

Cited 0 time in webofscience Cited 0 time in scopus
  • Hit : 24
  • Download : 0
In-storage processing (ISP) efficiently examines large datasets but faces performance and security challenges. We introduce DockerSSD, a flexible ISP model that runs various applications near flash without modification. It employs lightweight OS-level virtualization in modern SSDs for faster ISP and better storage intelligence with a high flexiblity. DockerSSD reuses existing Docker container images for real-time data processing without altering the storage interface or runtime. Our design includes a new communication method and virtual firmware, alongside automated container-related network and I/O handling hardware. DockerSSD achieves a 2× speed improvement and reduces system-level power by 35.7%, on average.
Publisher
IEEE COMPUTER SOC
Issue Date
2023-06
Language
English
Citation

IEEE COMPUTER ARCHITECTURE LETTERS

ISSN
1556-6056
DOI
10.1109/LCA.2023.3289828
URI
http://hdl.handle.net/10203/319076
Appears in Collection
EE-Journal Papers(저널논문)
Files in This Item
There are no files associated with this item.

qr_code

  • mendeley

    citeulike


rss_1.0 rss_2.0 atom_1.0