Neuro-CIM: ADC-Less Neuromorphic Computing-in-Memory Processor With Operation Gating/Stopping and Digital-Analog Networks

Cited 3 time in webofscience Cited 0 time in scopus
  • Hit : 100
  • Download : 0
DC FieldValueLanguage
dc.contributor.authorKim, Sangyeobko
dc.contributor.authorKim, Sangjinko
dc.contributor.authorUm, Soyeonko
dc.contributor.authorKim, Soyeonko
dc.contributor.authorKim, Kwantaeko
dc.contributor.authorYoo, Hoi-Junko
dc.date.accessioned2023-10-29T09:02:33Z-
dc.date.available2023-10-29T09:02:33Z-
dc.date.created2023-07-03-
dc.date.issued2023-10-
dc.identifier.citationIEEE JOURNAL OF SOLID-STATE CIRCUITS, v.58, no.10, pp.2931 - 2945-
dc.identifier.issn0018-9200-
dc.identifier.urihttp://hdl.handle.net/10203/313850-
dc.description.abstractA highly energy-efficient neuromorphic computing-in-memory (Neuro-CIM) processor is proposed for ultralow-power deep learning applications. Neuro-CIM can support spiking neural network (SNN) to eliminate the power and area overhead of previous CIM processor. The sign extended bits gating reduces the bitline (BL) voltage switching rate due to negative small-magnitude weights allowing 38% power reduction at 8-b weight condition and 25% at 4-b weight condition. In addition, Neuro-CIM replaces high-precision analog-to-digital converter (ADC) with 1-b comparator by exploiting the characteristic of the SNN, and thus, power and area efficiencies are significantly enhanced. Furthermore, the early stopping scheme terminates unnecessary neuronal operations, reducing power consumption by 31%. In addition, the analog and digital networks are integrated for high reconfigurability and energy efficiency. The analog network with voltage folding circuit enables accurate analog-domain aggregation by increasing the dynamic range without compromising the voltage resolution. The digital network-in-memory supports input-output channel extension for high reconfigurability and input data reuse scheme for reducing input memory (IMEM) access. Neuro-CIM is fabricated in 28-nm CMOS technology and occupies the 2.9-mm(2) die area. It achieves the state-of-the-art energy consumption per classification of 0.72 mu J and 92.1% accuracy for CIFAR-10 with 4-b input and 4-b weight and 372.2 mu J and 65.8% accuracy for ImageNet with 6-b input and 8-b weight at 200 MHz, and 1.1-V conditions. Moreover, the proposed CIM processor achieves 310.37 tera operations per second/watt (TOPS/W) and 90.7% accuracy with 4-b input and 1-b weight for Canadian Institute for Advanced Research, 10 classes (CIFAR-10) classification.-
dc.languageEnglish-
dc.publisherIEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC-
dc.titleNeuro-CIM: ADC-Less Neuromorphic Computing-in-Memory Processor With Operation Gating/Stopping and Digital-Analog Networks-
dc.typeArticle-
dc.identifier.wosid001005970200001-
dc.identifier.scopusid2-s2.0-85160276992-
dc.type.rimsART-
dc.citation.volume58-
dc.citation.issue10-
dc.citation.beginningpage2931-
dc.citation.endingpage2945-
dc.citation.publicationnameIEEE JOURNAL OF SOLID-STATE CIRCUITS-
dc.identifier.doi10.1109/JSSC.2023.3273238-
dc.contributor.localauthorYoo, Hoi-Jun-
dc.contributor.nonIdAuthorKim, Soyeon-
dc.contributor.nonIdAuthorKim, Kwantae-
dc.description.isOpenAccessN-
dc.type.journalArticleArticle-
dc.subject.keywordAuthorComputing-in-memory (CIM)-
dc.subject.keywordAuthordeep learning ASIC-
dc.subject.keywordAuthorneuromorphic computing-
dc.subject.keywordAuthorspiking neural network (SNN)-
Appears in Collection
EE-Journal Papers(저널논문)
Files in This Item
There are no files associated with this item.
This item is cited by other documents in WoS
⊙ Detail Information in WoSⓡ Click to see webofscience_button
⊙ Cited 3 items in WoS Click to see citing articles in records_button

qr_code

  • mendeley

    citeulike


rss_1.0 rss_2.0 atom_1.0