Integrated Airgap Insertion and Layer Reassignment for Circuit Timing optimization

Cited 0 time in webofscience Cited 0 time in scopus
  • Hit : 110
  • Download : 0
Airgap is an intentional void formed in inter-metal dielectric (IMD). It brings about reduced coupling capacitance, and so can be used to improve circuit timing. Airgap can be utilized in a limited number of metal layers due to its high process cost. For given airgap layers, two problems should be addressed to insert airgap: Relocate some metal segments in non-airgap layers into airgap layers (called layer reassignment) and determine the amount of airgap for each metal segment in airgap layers (airgap insertion). Two problems are solved together in this paper with a goal of maximizing setup total negative slack (TNS) while assuring no hold violations. It is formulated as mixed integer quadratically constrained programming (MIQCP); heuristic algorithm is proposed for practical application and its performance against MIQCP is experimentally assessed using small test circuits. Experiments demonstrate that TNS and WNS are improved by 35% and 10%, respectively, while simple minded approach achieves 6% and 4% less improvements compared to the proposed method.
Publisher
Institute of Electrical and Electronics Engineers Inc.
Issue Date
2020-01-14
Language
English
Citation

25th Asia and South Pacific Design Automation Conference, ASP-DAC 2020, pp.32 - 37

DOI
10.1109/ASP-DAC47756.2020.9045269
URI
http://hdl.handle.net/10203/277813
Appears in Collection
EE-Conference Papers(학술회의논문)
Files in This Item
There are no files associated with this item.

qr_code

  • mendeley

    citeulike


rss_1.0 rss_2.0 atom_1.0