Surface-Localized Sealing of Porous Ultralow-k Dielectric Films with Ultrathin (<2 nm) Polymer Coating

Cited 19 time in webofscience Cited 0 time in scopus
  • Hit : 1024
  • Download : 0
DC FieldValueLanguage
dc.contributor.authorYoon, Seong Junko
dc.contributor.authorPak, Kwanyongko
dc.contributor.authorTaewook Namko
dc.contributor.authorYoon, Alexanderko
dc.contributor.authorKim, Hyungjunko
dc.contributor.authorIm, Sung Gapko
dc.contributor.authorCho, Byung Jinko
dc.date.accessioned2017-09-25T06:02:36Z-
dc.date.available2017-09-25T06:02:36Z-
dc.date.created2017-09-18-
dc.date.created2017-09-18-
dc.date.created2017-09-18-
dc.date.created2017-09-18-
dc.date.created2017-09-18-
dc.date.issued2017-08-
dc.identifier.citationACS NANO, v.11, no.8, pp.7841 - 7847-
dc.identifier.issn1936-0851-
dc.identifier.urihttp://hdl.handle.net/10203/226136-
dc.description.abstractSemiconductor integrated circuit chip industries have been striving to introduce porous ultralow-k (ULK) dielectrics into the multilevel interconnection process in order to improve their chip operation speed by reducing capacitance along the signal path. To date, however, highly porous ULK dielectrics (porosity &gt;40%, dielectric constant (k) &lt;2.4) have not been successfully adopted in real devices because the porous nature causes many serious problems, including noncontinuous barrier deposition, penetration of the barrier metal, and reliability issues. Here, a method that allows porous ULK dielectrics to be successfully used with a multilevel interconnection scheme is presented. The surface of the porous ULK dielectric film (k = 2.0, porosity similar to 47%) could be completely sealed by a thin (&lt;2 nm) polymer deposited by a multistep initiated chemical vapor deposition (iCVD) process. Using the iCVD process, a thin pore-sealing layer was localized only to the surface of the porous ULK dielectric film, which could minimize the increase of k; the final effective k was less than 2.2, and the penetration of metal barrier precursors into the dielectric film was completely blocked. The pore-sealed ULK dielectric film also exhibited excellent long-term reliability comparable to a dense low-k dielectric film.-
dc.languageEnglish-
dc.publisherAMER CHEMICAL SOC-
dc.titleSurface-Localized Sealing of Porous Ultralow-k Dielectric Films with Ultrathin (&lt;2 nm) Polymer Coating-
dc.typeArticle-
dc.identifier.wosid000408520900033-
dc.identifier.scopusid2-s2.0-85028471281-
dc.type.rimsART-
dc.citation.volume11-
dc.citation.issue8-
dc.citation.beginningpage7841-
dc.citation.endingpage7847-
dc.citation.publicationnameACS NANO-
dc.identifier.doi10.1021/acsnano.7b01998-
dc.contributor.localauthorIm, Sung Gap-
dc.contributor.localauthorCho, Byung Jin-
dc.contributor.nonIdAuthorTaewook Nam-
dc.contributor.nonIdAuthorYoon, Alexander-
dc.contributor.nonIdAuthorKim, Hyungjun-
dc.description.isOpenAccessN-
dc.type.journalArticleArticle-
dc.subject.keywordAuthorpore sealing ultralow-k dielectrics-
dc.subject.keywordAuthorCu interconnects-
dc.subject.keywordAuthorinitiated chemical vapor deposition-
dc.subject.keywordAuthorback-end of line process-
dc.subject.keywordPlusCHEMICAL-VAPOR-DEPOSITION-
dc.subject.keywordPlusTHIN-FILMS-
dc.subject.keywordPlusICVD-
dc.subject.keywordPlusACRYLATES)-
dc.subject.keywordPlusLAYERS-
Appears in Collection
CBE-Journal Papers(저널논문)EE-Journal Papers(저널논문)
Files in This Item
There are no files associated with this item.
This item is cited by other documents in WoS
⊙ Detail Information in WoSⓡ Click to see webofscience_button
⊙ Cited 19 items in WoS Click to see citing articles in records_button

qr_code

  • mendeley

    citeulike


rss_1.0 rss_2.0 atom_1.0