Subspace snooping: Filtering snoops with operating system support

Cited 0 time in webofscience Cited 0 time in scopus
  • Hit : 373
  • Download : 278
Although snoop-based coherence protocols provide fast cache to-cache transfers with a simple and robust coherence mechanism, scaling the protocols has been difficult due to the overheads of broadcast snooping. In this paper, we propose a coherence filtering technique called subspace snooping, which stores the potential sharers of each memory page in the page table entry. By using the sharer information in the page table entry, coherence transactions for a page generate snoop requests only to the subset of nodes in the system (subspace). However, the coherence subspace of a page may evolve, as the phases of applications may change or the operating system may migrate threads to different nodes. To adjust subspaces dynamically, subspace snooping supports a shrinking mechanism, which removes obsolete nodes from subspaces. Subspace snooping can be integrated to any type of coherence protocols and network topologies. As subspace snooping guarantees that a subspace always contains the precise sharers of a page, it does not restrict the designs of coherence protocols and networks. We evaluate subspace snooping with Token Coherence on un-ordered mesh networks. For scientific and server applications on a 16-core system, subspace snooping reduces 44% of snoops on average.
Issue Date
2010-09-11
Language
ENG
Citation

19th International Conference on Parallel Architectures and Compilation Techniques, PACT 2010, pp.111 - 122

URI
http://hdl.handle.net/10203/22123
Appears in Collection
CS-Conference Papers(학술회의논문)
Files in This Item
subspace_pact10.pdf(223.93 kB)Download

qr_code

  • mendeley

    citeulike


rss_1.0 rss_2.0 atom_1.0