Browse "School of Electrical Engineering(전기및전자공학부)" by Author Park, Gwangtae

Showing results 1 to 20 of 20

1
7.7 LNPU: A 25.3TFLOPS/W Sparse Deep-Neural-Network Learning Processor with Fine-Grained Mixed Precision of FP8-FP16

Lee, Jinsu; Lee, Juhyoung; Han, Donghyeon; Lee, Jinmook; Park, Gwangtae; Yoo, Hoi-Jun, 2019 IEEE International Solid-State Circuits Conference, ISSCC 2019, pp.142 - 144, Institute of Electrical and Electronics Engineers Inc., 2019-02

2
A 0.82 mu W CIS-Based Action Recognition SoC With Self-Adjustable Frame Resolution for Always-on IoT Devices

Ryu, Junha; Park, Gwangtae; Im, Dongseok; Kim, Ji-Hoon; Yoo, Hoi-Jun, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.68, no.5, pp.1700 - 1704, 2021-05

3
A 0.95 mJ/frame DNN Training Processor for Robust Object Detection with Real-World Environmental Adaptation

Han, Donghyeon; Im, DongSeok; Park, Gwangtae; Kim, Youngwoo; Song, Seokchan; Lee, Juhyoung; Yoo, Hoi-Jun, 4th IEEE International Conference on Artificial Intelligence Circuits and Systems, AICAS 2022, pp.37 - 40, Institute of Electrical and Electronics Engineers Inc., 2022-06

4
A 1.15 TOPS/W Energy-Efficient Capsule Network Accelerator for Real-Time 3D Point Cloud Segmentation in Mobile Environment

Park, Gwangtae; Im, Dongseok; Han, Donghyeon; Yoo, Hoi-Jun, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.67, no.9, pp.1594 - 1598, 2020-09

5
A 49.5 mW Multi-Scale Linear Quantized Online Learning Processor for Real-Time Adaptive Object Detection

Song, Seokchan; Kim, Soyeon; Park, Gwangtae; Han, Donghyeon; Yoo, Hoi-Jun, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.69, no.5, pp.2443 - 2447, 2022-05

6
A 49.5 mW Multi-Scale Linear Quantized Online Learning Processor for Real-Time Adaptive Object Detection

Song, Seokchan; Yoo, Hoi-Jun; Kim, Soyeon; Park, Gwangtae; Han, Donghyeon, 2022 International Symposium on Circuits and Systems, ISCAS 2022, 2022 International Symposium on Circuits and Systems, 2022-05

7
A DNN Training Processor for Robust Object Detection with Real-World Environmental Adaptation

Han, Donghyeon; Im, DongSeok; Park, Gwangtae; Kim, Youngwoo; Song, Seokchan; Lee, Juhyoung; Yoo, Hoi-Jun, 4th IEEE International Conference on Artificial Intelligence Circuits and Systems, AICAS 2022, pp.501, Institute of Electrical and Electronics Engineers Inc., 2022-06

8
A Low-power and Real-time 3D Object Recognition Processor with Dense RGB-D Data Acquisition in Mobile Platforms

Im, Dongseok; Park, Gwangtae; Ryu, Junha; Li, Zhiyong; Kang, Sanghoon; Han, Donghyeon; Lee, Jinsu; et al, 25th IEEE Symposium in Low-Power and High-Speed Chips (COOL CHIPS), IEEE, 2022-04

9
A Mobile 3-D Object Recognition Processor With Deep-Learning-Based Monocular Depth Estimation

Im, Dongseok; Park, Gwangtae; Li, Zhiyong; Ryu, Junha; Kang, Sanghoon; Han, Donghyeon; Lee, Jinsu; et al, IEEE MICRO, v.43, no.3, pp.74 - 82, 2023-05

10
A Mobile DNN Training Processor With Automatic Bit Precision Search and Fine-Grained Sparsity Exploitation

Han, Donghyeon; Im, Dongseok; Park, Gwangtae; Kim, Youngwoo; Song, Seokchan; Lee, Juhyoung; Yoo, Hoi-Jun, IEEE MICRO, v.42, no.2, pp.16 - 24, 2022-03

11
An Energy-efficient Deep Neural Network Training Processor with Bit-slice-level Reconfigurability and Sparsity Exploitation

Han, Donghyeon; Im, Dongseok; Park, Gwangtae; Kim, Youngwoo; Song, Seokchan; Lee, Juhyoung; Yoo, Hoi-Jun, IEEE Symposium on Low-Power and High-Speed Chips (IEEE COOL CHIPS), IEEE COMPUTER SOC, 2021-04

12
An Energy-Efficient Sparse Deep-Neural-Network Learning Accelerator with Fine-Grained Mixed Precision of FP8–FP16

Lee,Jinsu; LEE, JUHYOUNG; Han, Donghyeon; Lee, Jinmook; Park, Gwangtae; Yoo, Hoi-Jun, IEEE Solid-State Circuits Letters, v.2, no.11, pp.232 - 235, 2019-11

13
An Overview of Sparsity Exploitation in CNNs for On-Device Intelligence With Software-Hardware Cross-Layer Optimizations

Kang, Sanghoon; Park, Gwangtae; Kim, Sangjin; Kim, Soyeon; Han, Donghyeon; Yoo, Hoi-Jun, IEEE JOURNAL ON EMERGING AND SELECTED TOPICS IN CIRCUITS AND SYSTEMS, v.11, no.4, pp.634 - 648, 2021-12

14
DSPU: A 281.6mW Real-Time Deep Learning-Based Dense RGB-D Data Acquisition with Sensor Fusion and 3D Perception System-on-Chip

Im, DongSeok; Park, Gwangtae; Li, Zhiyong; Ryu, Junha; Kang, Sanghoon; Han, Donghyeon; Lee, Jinsu; et al, 2022 IEEE Hot Chips 34 Symposium, HCS 2022, Institute of Electrical and Electronics Engineers Inc., 2022-08

15
DSPU: A 281.6mW Real-Time Depth Signal Processing Unit for Deep Learning-Based Dense RGB-D Data Acquisition with Depth Fusion and 3D Bounding Box Extraction in Mobile Platforms

Im, DongSeok; Park, Gwangtae; LI, ZHIYONG; Ryu, Junha; Kang, Sanghoon; Han, Donghyeon; Lee, Jinsu; et al, 2022 IEEE International Solid-State Circuits Conference, ISSCC 2022, pp.510 - 512, Institute of Electrical and Electronics Engineers Inc., 2022-02

16
DSPU: An Efficient Deep Learning-Based Dense RGB-D Data Acquisition With Sensor Fusion and 3-D Perception SoC

Im, Dongseok; Park, Gwangtae; Ryu, Junha; Li, Zhiyong; Kang, Sanghoon; Han, Donghyeon; Lee, Jinsu; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.58, no.1, pp.177 - 188, 2023-01

17
Energy-efficient capsule network processor for real-time point cloud segmentation = 실시간 포인트 클라우드 세분화를 위한 고효율 캡슐 신경망 프로세서link

Park, Gwangtae; Yoo, Hoi-Jun; et al, 한국과학기술원, 2021

18
HNPU-V2: A 46.6 FPS DNN Training Processor for Real-World Environmental Adaptation based Robust Object Detection on Mobile Devices

Han, Donghyeon; Im, DongSeok; Park, Gwangtae; Kim, Youngwoo; Song, Seokchan; Lee, Juhyoung; Yoo, Hoi-Jun, 2022 IEEE Hot Chips 34 Symposium, HCS 2022, Institute of Electrical and Electronics Engineers Inc., 2022-08

19
HNPU: An Adaptive DNN Training Processor Utilizing Stochastic Dynamic Fixed-Point and Active Bit-Precision Searching

Han, Donghyeon; Im, Dongseok; Park, Gwangtae; Kim, Youngwoo; Song, Seokchan; Lee, Juhyoung; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.56, no.9, pp.2858 - 2869, 2021-09

20
LNPU: An Energy-Efficient Deep-Neural-Network Training Processor with Fine-Grained Mixed Precision

Lee, Jinsu; Lee, Juhyoung; Han, Donghyeon; Lee, Jinmook; Park, Gwangtae; Yoo, Hoi-Jun, Hot Chips 2019: A Symposium on High-Performance Chips, HOT CHIPS, 2019-08

Discover

Type

. next

Open Access

Date issued

. next

Subject

. next

rss_1.0 rss_2.0 atom_1.0