Browse "School of Electrical Engineering(전기및전자공학부)" by Author Im, Dongseok

Showing results 1 to 16 of 16

1
A 0.82 mu W CIS-Based Action Recognition SoC With Self-Adjustable Frame Resolution for Always-on IoT Devices

Ryu, Junha; Park, Gwangtae; Im, Dongseok; Kim, Ji-Hoon; Yoo, Hoi-Jun, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.68, no.5, pp.1700 - 1704, 2021-05

2
A 1.15 TOPS/W Energy-Efficient Capsule Network Accelerator for Real-Time 3D Point Cloud Segmentation in Mobile Environment

Park, Gwangtae; Im, Dongseok; Han, Donghyeon; Yoo, Hoi-Jun, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.67, no.9, pp.1594 - 1598, 2020-09

3
A 3.6 TOPS/W Hybrid FP-FXP Deep Learning Processor with Outlier Compensation for Image-to-image Application

Li, Zhiyong; Im, Dongseok; Lee, Jinsu; Yoo, Hoi-Jun, IEEE International Symposium on Circuits and Systems (IEEE ISCAS), IEEE, 2021-05

4
A Low-power and Real-time 3D Object Recognition Processor with Dense RGB-D Data Acquisition in Mobile Platforms

Im, Dongseok; Park, Gwangtae; Ryu, Junha; Li, Zhiyong; Kang, Sanghoon; Han, Donghyeon; Lee, Jinsu; et al, 25th IEEE Symposium in Low-Power and High-Speed Chips (COOL CHIPS), IEEE, 2022-04

5
A Mobile 3-D Object Recognition Processor With Deep-Learning-Based Monocular Depth Estimation

Im, Dongseok; Park, Gwangtae; Li, Zhiyong; Ryu, Junha; Kang, Sanghoon; Han, Donghyeon; Lee, Jinsu; et al, IEEE MICRO, v.43, no.3, pp.74 - 82, 2023-05

6
A Mobile DNN Training Processor With Automatic Bit Precision Search and Fine-Grained Sparsity Exploitation

Han, Donghyeon; Im, Dongseok; Park, Gwangtae; Kim, Youngwoo; Song, Seokchan; Lee, Juhyoung; Yoo, Hoi-Jun, IEEE MICRO, v.42, no.2, pp.16 - 24, 2022-03

7
A Pipelined Point Cloud Based Neural Network Processor for 3-D Vision With Large-Scale Max Pooling Layer Prediction

Im, Dongseok; Han, Donghyeon; Kang, Sanghoon; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.57, no.2, pp.661 - 670, 2022-02

8
An 0.92 mJ/frame High-quality FHD Super-resolution Mobile Accelerator SoC with Hybrid-precision and Energy-efficient Cache

Li, Zhiyong; Kim, Sangjin; Im, Dongseok; Han, Donghyeon; Yoo, Hoi-Jun, 43rd Annual IEEE Custom Integrated Circuits Conference, CICC 2022, Institute of Electrical and Electronics Engineers Inc., 2022-04

9
An Energy-efficient Deep Neural Network Training Processor with Bit-slice-level Reconfigurability and Sparsity Exploitation

Han, Donghyeon; Im, Dongseok; Park, Gwangtae; Kim, Youngwoo; Song, Seokchan; Lee, Juhyoung; Yoo, Hoi-Jun, IEEE Symposium on Low-Power and High-Speed Chips (IEEE COOL CHIPS), IEEE COMPUTER SOC, 2021-04

10
DSPU: An Efficient Deep Learning-Based Dense RGB-D Data Acquisition With Sensor Fusion and 3-D Perception SoC

Im, Dongseok; Park, Gwangtae; Ryu, Junha; Li, Zhiyong; Kang, Sanghoon; Han, Donghyeon; Lee, Jinsu; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.58, no.1, pp.177 - 188, 2023-01

11
DT-CNN : (an) energy-efficient dilated and transposed convolutional neural network processor for real-time image segmentation on mobile devices = 모바일 환경 실시간 이미지 세분화를 위한 효율적인 팽창 및 전치 합성 인공신경망 가속 프로세서link

Im, Dongseok; Yoo, Hoi-Jun; et al, 한국과학기술원, 2020

12
DT-CNN: An Energy-Efficient Dilated and Transposed Convolutional Neural Network Processor for Region of Interest Based Image Segmentation

Im, Dongseok; Han, Donghyeon; Choi, Sungpill; Kang, Sanghoon; Yoo, Hoi-Jun, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, v.67, no.10, pp.3471 - 3483, 2020-10

13
DT-CNN: Dilated and transposed convolution neural network accelerator for real-time image segmentation on mobile devices

Im, Dongseok; Han, Donghyeon; Choi, Sungpill; Kang, Sanghoon; Yoo, Hoi-Jun, 2019 IEEE International Symposium on Circuits and Systems, ISCAS 2019, Institute of Electrical and Electronics Engineers Inc., 2019-05

14
GANPU: An Energy-Efficient Multi-DNN Training Processor for GANs With Speculative Dual-Sparsity Exploitation

Kang, Sanghoon; Han, Donghyeon; Lee, Juhyoung; Im, Dongseok; Kim, Sangyeob; Kim, Soyeon; Ryu, Junha; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.56, no.9, pp.2845 - 2857, 2021-09

15
HNPU: An Adaptive DNN Training Processor Utilizing Stochastic Dynamic Fixed-Point and Active Bit-Precision Searching

Han, Donghyeon; Im, Dongseok; Park, Gwangtae; Kim, Youngwoo; Song, Seokchan; Lee, Juhyoung; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.56, no.9, pp.2858 - 2869, 2021-09

16
PNNPU: A 11.9 TOPS/W High-speed 3D Point Cloud-based Neural Network Processor with Block-based Point Processing for Regular DRAM Access

Kim,Sangjin; Lee, Juhyoung; Im, Dongseok; Yoo, Hoijun, 35th Symposium on VLSI Circuits, VLSI Circuits 2021, Institute of Electrical and Electronics Engineers Inc., 2021-06

Discover

Type

. next

Open Access

Date issued

. next

Subject

. next

rss_1.0 rss_2.0 atom_1.0