Cache architecture for virtualized multi-cores멀티코어 기반 가상화 시스템을 위한 캐쉬 아키텍처

Cited 0 time in webofscience Cited 0 time in scopus
  • Hit : 764
  • Download : 0
DC FieldValueLanguage
dc.contributor.advisorHuh, Jae-Hyuk-
dc.contributor.advisor허재혁-
dc.contributor.authorKim, Dae-Hoon-
dc.contributor.author김대훈-
dc.date.accessioned2015-04-23T08:30:38Z-
dc.date.available2015-04-23T08:30:38Z-
dc.date.issued2014-
dc.identifier.urihttp://library.kaist.ac.kr/search/detail/view.do?bibCtrlNo=568613&flag=dissertation-
dc.identifier.urihttp://hdl.handle.net/10203/197825-
dc.description학위논문(박사) - 한국과학기술원 : 전산학과, 2014.2, [ vi, 74 p. ]-
dc.description.abstractVirtualization has been rapidly expanding its applications in numerous server and desktop environmentsto improve the utilization and manageability of physical systems. Such proliferation of virtualizedsystems opens a new opportunity to improve the scalability of future multi-core architectures andrequires to virtualize shared last-level cache to maintain an illusion that a consolidated workload runson a dedicated machine. Among the scalability bottlenecks in multi-cores, cache coherence has been acritical problem. Although snoop-based protocols have been dominating commercial multi-core designs,it has been difficult to scale them for more cores, as snooping protocols require high network bandwidthand power consumption for snooping all the caches. For providing the illusion, many technical advanceshave enabled a virtual machine to access shared resources in a transparent and isolated manner on highlyconsolidated systems. However, on-chip last-level cache, which has been continuously increasing its capacityand a performance-critical shared resource, has no architectural support to virtualize the sharedlast-level caches for the illusion.To improve the scalability of multi-cores in the virtualized multi-cores, this dissertation proposes anovel snoop-based cache coherence protocol, called virtual snooping. Virtual snooping exploits memoryisolation across virtual machines and prevents unnecessary snoop requests from crossing the virtualmachine boundaries. Each virtual machine makes a virtual snoop domain, consisting of a subset of thecores in a system. However, in real virtualized systems, virtual machines cannot partition the coresperfectly without any data sharing across the snoop partitions. This dissertation investigates threefactors, which break the memory isolation among virtual machines: data sharing with a hypervisor,virtual machine relocation, and content-based data sharing. This dissertation explores the design spaceof virtual snooping with experiments on ...eng
dc.languageeng-
dc.publisher한국과학기술원-
dc.subjectCache architecture-
dc.subject페이지 컬러링-
dc.subject멀티코어-
dc.subject스눕 필터링-
dc.subject캐쉬 일관성-
dc.subject가상화-
dc.subjectVirtualization-
dc.subjectCache Coherence-
dc.subjectSnoop Filtering-
dc.subjectMulti-core-
dc.subjectPage coloring-
dc.subject캐쉬 아키텍처-
dc.titleCache architecture for virtualized multi-cores-
dc.title.alternative멀티코어 기반 가상화 시스템을 위한 캐쉬 아키텍처-
dc.typeThesis(Ph.D)-
dc.identifier.CNRN568613/325007 -
dc.description.department한국과학기술원 : 전산학과, -
dc.identifier.uid020107014-
dc.contributor.localauthorHuh, Jae-Hyuk-
dc.contributor.localauthor허재혁-
Appears in Collection
CS-Theses_Ph.D.(박사논문)
Files in This Item
There are no files associated with this item.

qr_code

  • mendeley

    citeulike


rss_1.0 rss_2.0 atom_1.0