HAPL: Heterogeneous Array of Programmable Logic Using Selective Mask Patterning

Cited 0 time in webofscience Cited 0 time in scopus
  • Hit : 720
  • Download : 10
DC FieldValueLanguage
dc.contributor.authorShin, Youngsooko
dc.contributor.authorShin, In-Supko
dc.contributor.authorBaek, Donkyuko
dc.contributor.authorKim, Duckhwanko
dc.contributor.authorPaik, Seungwhunko
dc.date.accessioned2014-08-29T01:29:43Z-
dc.date.available2014-08-29T01:29:43Z-
dc.date.created2014-02-17-
dc.date.created2014-02-17-
dc.date.issued2014-01-
dc.identifier.citationIEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, v.61, no.1, pp.146 - 159-
dc.identifier.issn1549-8328-
dc.identifier.urihttp://hdl.handle.net/10203/188768-
dc.description.abstractA structured ASIC, one kind of programmable logic device (PLD), consists of a homogeneous array of programmable logic elements, or called tiles. The architecture of each tile is supposed to be very general so that any kind of logic can be implemented on it; this is the main reason why a structured ASIC has an inherently limited performance, together with a large area requirement compared to an ASIC. This balances the little mask cost of structured ASIC. We tilt this balance by introducing a small number of different types of tile, each with its own architecture, which can be deployed across different designs by the use of a simple blocking mask. This is made possible by a new photolithography concept called selectively patterned masks (SPM), which we propose. We address the practical issues of SPM, including mask cost and manufacturing time. We introduce the heterogeneous array of programmable logic (HAPL), which is a new structured ASIC which takes advantage of SPM. HAPL has its own tile and routing architectures, and supporting CAD tools for packing and routing. Extensive experiments in 45-nm technology are used to assess HAPL and compare it with ASIC. A HAPL design that is optimized for area is about twice the size of its ASIC counterpart. A delay-optimized HAPL design exhibits a post-layout delay which is, on average, 1.35 that of an equivalent ASIC.-
dc.languageEnglish-
dc.publisherIEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC-
dc.subjectDESIGN-
dc.subjectFABRICS-
dc.subjectASICS-
dc.titleHAPL: Heterogeneous Array of Programmable Logic Using Selective Mask Patterning-
dc.typeArticle-
dc.identifier.wosid000330037500014-
dc.identifier.scopusid2-s2.0-84892617663-
dc.type.rimsART-
dc.citation.volume61-
dc.citation.issue1-
dc.citation.beginningpage146-
dc.citation.endingpage159-
dc.citation.publicationnameIEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS-
dc.identifier.doi10.1109/TCSI.2013.2264690-
dc.embargo.liftdate9999-12-31-
dc.embargo.terms9999-12-31-
dc.contributor.localauthorShin, Youngsoo-
dc.contributor.nonIdAuthorKim, Duckhwan-
dc.contributor.nonIdAuthorPaik, Seungwhun-
dc.type.journalArticleArticle-
dc.subject.keywordAuthorProgrammable logic-
dc.subject.keywordAuthorstructured ASIC-
dc.subject.keywordAuthorphotolithography-
dc.subject.keywordAuthormask-
dc.subject.keywordAuthorASIC-
dc.subject.keywordPlusDESIGN-
dc.subject.keywordPlusFABRICS-
dc.subject.keywordPlusASICS-
Appears in Collection
EE-Journal Papers(저널논문)
Files in This Item

qr_code

  • mendeley

    citeulike


rss_1.0 rss_2.0 atom_1.0