Exploiting Mutual Awareness between Prefetchers and On-chip Networks in Multi-cores

Cited 0 time in webofscience Cited 0 time in scopus
  • Hit : 469
  • Download : 35
The unique characteristics of prefetch traffic have not been considered in on-chip network design for multicore architectures. Most prefetchers are often oblivious to the network congestion when generating prefetech requests. In this work, we investigate the interaction between prefetchers and on-chip networks and exploit the synergy of these two components in multi-core architectures. We explore prefetchaware on-chip networks that differentiates between prefetch and demand traffic by prioritizing demand traffic. In addition, we propose prefetch control mechanism based on network congestion. Our evaluations show that the combination of the proposed prefetch-aware router architecture and congestionsensitive prefetch control improves the performance of benchmarks by 11-13% on average, up to 30% on some of the workloads.
Publisher
IEEE Computer Society
Issue Date
2011-10-10
Language
English
Citation

International Conference on Parallel Architectures and Compilation Techniques, pp.177 - 178

ISSN
1089-795X
DOI
10.1109/PACT.2011.27
URI
http://hdl.handle.net/10203/171212
Appears in Collection
EE-Conference Papers(학술회의논문)
Files in This Item

qr_code

  • mendeley

    citeulike


rss_1.0 rss_2.0 atom_1.0