Bounded potential slack: Enabling time budgeting for dual-Vt allocation of hierarchical design

Cited 0 time in webofscience Cited 0 time in scopus
  • Hit : 397
  • Download : 0
DC FieldValueLanguage
dc.contributor.authorSeomun, J.ko
dc.contributor.authorPaik, S.ko
dc.contributor.authorShin, Youngsooko
dc.date.accessioned2013-03-28T07:40:13Z-
dc.date.available2013-03-28T07:40:13Z-
dc.date.created2012-02-06-
dc.date.created2012-02-06-
dc.date.issued2010-01-18-
dc.identifier.citation15th Asia and South Pacific Design Automation Conference, ASP-DAC 2010, pp.581 - 586-
dc.identifier.urihttp://hdl.handle.net/10203/163891-
dc.languageEnglish-
dc.publisherASP-DAC 2010-
dc.titleBounded potential slack: Enabling time budgeting for dual-Vt allocation of hierarchical design-
dc.typeConference-
dc.identifier.wosid000281611400112-
dc.identifier.scopusid2-s2.0-77951249377-
dc.type.rimsCONF-
dc.citation.beginningpage581-
dc.citation.endingpage586-
dc.citation.publicationname15th Asia and South Pacific Design Automation Conference, ASP-DAC 2010-
dc.identifier.conferencecountryCH-
dc.identifier.conferencelocationTaipei-
dc.contributor.localauthorShin, Youngsoo-
dc.contributor.nonIdAuthorSeomun, J.-
dc.contributor.nonIdAuthorPaik, S.-
Appears in Collection
EE-Conference Papers(학술회의논문)
Files in This Item
There are no files associated with this item.

qr_code

  • mendeley

    citeulike


rss_1.0 rss_2.0 atom_1.0