Selection of airgap layers for circuit timing optimization

Cited 0 time in webofscience Cited 0 time in scopus
  • Hit : 522
  • Download : 0
Airgap refers to a void formed in place of some inter metal dielectric (IMD). It brings about the reduction in coupling capacitance, which may contribute to improvement in circuit performance. We introduce two problems in this context. First is to choose the layers, where airgap should be applied, in such a way that total negative slack (TNS) is minimized for a given circuit. This has been motivated by the fact that best choice of airgap layers is different for different circuits. An algorithm is proposed to solve the problem, and is assessed against a naive approach in which airgap layers are simply fixed; additional 8% TNS reduction, on average of a few test circuits, is demonstrated. In the second problem, some wires of critical paths that are on non-airgap layers are reassigned to airgap layers such that TNS is further reduced; additional 3 to 14% of TNS reduction is observed.
Publisher
SPIE
Issue Date
2017-02-26
Language
English
Citation

Conference on Design-Process-Technology Co-Optimization for Manufacturability XI

ISSN
0277-786X
DOI
10.1117/12.2258034
URI
http://hdl.handle.net/10203/214685
Appears in Collection
EE-Conference Papers(학술회의논문)
Files in This Item
There are no files associated with this item.

qr_code

  • mendeley

    citeulike


rss_1.0 rss_2.0 atom_1.0