Researcher Page

사진
Kim, Lee-Sup (김이섭)
교수, (전기및전자공학부)
Co-researchers
    Similar researchers

    Keyword Cloud

    Reload 더보기
    NO Title, Author(s) (Publication Title, Volume Issue, Page, Issue Date)
    1
    MGen: A Framework for Energy-Efficient In-ReRAM Acceleration of Multi-Task BERT

    Kang, Myeonggu; Shin, Hyein; Kim, Joon Gyum; et al, IEEE TRANSACTIONS ON COMPUTERS, v.72, no.11, pp.3140 - 3152, 2023-11

    2
    Fault-Free: A Framework for Analysis and Mitigation of Stuck-at-Fault on Realistic ReRAM-Based DNN Accelerators

    Shin, Hyein; Kang, Myeonggu; Kim, Lee-Sup, IEEE TRANSACTIONS ON COMPUTERS, v.72, no.7, pp.2011 - 2024, 2023-07

    3
    Accelerating On-Device DNN Training Workloads via Runtime Convergence Monitoring

    Choi, Seungkyu; Shin, Jaekang; Kim, Lee-Sup, IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, v.42, no.5, pp.1574 - 1587, 2023-05

    4
    Energy-Efficient CNN Personalized Training by Adaptive Data Reformation

    Jung, Youngbeom; Kim, Hyeonuk; Choi, Seungkyu; et al, IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, v.42, no.1, pp.332 - 336, 2023-01

    5
    EGCN: An Efficient GCN Accelerator for Minimizing Off-Chip Memory Access

    Han, Yunki; Park, Kangkyu; Jung, Youngbeom; et al, IEEE TRANSACTIONS ON COMPUTERS, v.71, no.12, pp.3127 - 3139, 2022-12

    6
    A Framework for Accelerating Transformer-based Language Model on ReRAM-based Architecture

    Kang, Myeonggu; Shin, Hyein; Kim, Lee-Sup, IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, v.41, no.9, pp.3026 - 3039, 2022-09

    7
    S-FLASH: A NAND Flash-based Deep Neural Network Accelerator Exploiting Bit-level Sparsity

    Kang, Myeonggu; Kim, Hyeonuk; Shin, Hyein; et al, IEEE TRANSACTIONS ON COMPUTERS, v.71, no.6, pp.1291 - 1304, 2022-06

    8
    A Deep Neural Network Training Architecture with Inference-aware Heterogeneous Data-type

    Choi, Seungkyu; Shin, Jaekang; Kim, Lee-Sup, IEEE TRANSACTIONS ON COMPUTERS, v.71, no.5, pp.1216 - 1229, 2022-05

    9
    Rare Computing: Removing Redundant Multiplications from Sparse and Repetitive Data in Deep Neural Networks

    Park, Kangkyu; Choi, Seungkyu; Choi, Yeongjae; et al, IEEE TRANSACTIONS ON COMPUTERS, v.71, no.4, pp.795 - 808, 2022-04

    10
    Quantization-Error-Robust Deep Neural Network for Embedded Accelerators

    Jung, Youngbeom; Kim, Hyeonuk; Choi, Yeongjae; et al, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.69, no.2, pp.609 - 613, 2022-02

    11
    Amnesiac DRAM: A Proactive Defense Mechanism Against Cold Boot Attacks

    Seol, Hoseok; Kim, Minhye; Kim, Taesoo; et al, IEEE TRANSACTIONS ON COMPUTERS, v.70, no.4, pp.539 - 551, 2021-04

    12
    CREMON: Cryptography Embedded on the Convolutional Neural Network Accelerator

    Choi, Yeongjae; Sim, Jaehyeong; Kim, Lee-Sup, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.67, no.12, pp.3337 - 3341, 2020-12

    13
    An Energy-Efficient Deep Convolutional Neural Network Training Accelerator for In-Situ Personalization on Smart Devices

    Choi, Seungkyu; Sim, Jaehyeong; Kang, Myeonggu; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.55, no.10, pp.2691 - 2702, 2020-10

    14
    An Energy-Efficient Deep Convolutional Neural Network Inference Processor With Enhanced Output Stationary Dataflow in 65-nm CMOS

    Sim, Jaehyeong; Lee, Somin; Kim, Lee-Sup, IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, v.28, no.1, pp.87 - 100, 2020-01

    15
    A 10.8 Gb/s Quarter-Rate 1 FIR 1 IIR Direct DFE with Non-Time-Overlapping Data Generation for 4:1 CMOS Clockless Multiplexer

    Lee, Daewoong; Lee, Dongil; Kim, Yong-Hun; et al, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.67, no.1, pp.67 - 71, 2020-01

    16
    A 12 Gb/s 1.59 mW/Gb/s Input-Data-Jitter-Tolerant Injection-Type CDR With Super-Harmonic Injection-Locking in 65-nm CMOS

    Jung, Chongsoo; Lee, Dongil; Kim, Yong-Hun; et al, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.66, no.12, pp.1972 - 1976, 2019-12

    17
    DC-PCM: Mitigating PCM Write Disturbance with Low Performance Overhead by Using Detection Cells

    Choi, Jungwhan; Jang, Jaemin; Kim, Lee-Sup, IEEE TRANSACTIONS ON COMPUTERS, v.68, no.12, pp.1741 - 1754, 2019-12

    18
    Sparse-Insertion Write Cache to Mitigate Write Disturbance Errors in Phase Change Memory

    Jang, Jaemin; Shin, Wongyu; Choi, Jungwhan; et al, IEEE TRANSACTIONS ON COMPUTERS, v.68, no.5, pp.752 - 764, 2019-05

    19
    A 0.9-V 12-Gb/s Two-FIR Tap Direct DFE With Feedback-Signal Common-Mode Control

    Lee, Daewoong; Lee, Dongil; Kim, Yong-Hun; et al, IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, v.27, no.3, pp.724 - 728, 2019-03

    20
    A 0.65V, 11.2Gb/s Power Noise Tolerant Source-synchronous injection-locked Receiver with Direct DTLB DFE

    Lee, Dongil; Kim, Yong-Hun; Lee, Daewoong; et al, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.65, no.11, pp.1564 - 1568, 2018-11

    Load more items
    Loading...

    rss_1.0 rss_2.0 atom_1.0