Browse "College of Engineering(공과대학)" by Author 김이섭

Showing results 1 to 60 of 172

1
2-차원 메쉬 웜홀 라우터의 설계 = Design of 2-Dimension mesh wormhole routerlink

박창일; Park, Chang-Il; et al, 한국과학기술원, 1995

2
2D Image Filter에 적합한 저전력 FIR Filter의 구현

한창영; 박형준; 김이섭, 전자공학회논문지, v.38, no.9, pp.59 - 66, 2001-09

3
2차원 DWT의 준-순환적 구조 = Semi-recursive VLSI architecture of 2-D discrete wavelet transformlink

전현규; Jeon, Hyun-Kyu; et al, 한국과학기술원, 1997

4
2차원 그래픽스 가속기의 하드웨어 구현에 관한 연구 = A study on the hardware implementation of 2D graphics acceleratorlink

이진언; Lee, Jin-Aeon; et al, 한국과학기술원, 1994

5
3D Graphics rendering processor using out-of-order memory access = 비순차적 메모리 접근을 이용한 삼차원 그래픽스 렌더링 프로세서link

Kim, Dong-Hyun; 김동현; et al, 한국과학기술원, 2002

6
A high speed transceiver with a transmitter eliminating crosstalk induced jitter and a receiver with adaptively controllable reference voltage = 크로스톡 지터 제거하는 송신기와 레퍼런스 전압을 적응시키는 수신기를 가지는 고속 송수신기link

Cho, Young-Chul; 조영철; et al, 한국과학기술원, 2008

7
A low cost 210 fps/core corner detector hardware for HD video based on FAST algorithm = FAST 알고리즘 기반의 210 fps/core 저비용 코너 검출기 하드웨어link

Park, Jun-Seok; 박준석; et al, 한국과학기술원, 2011

8
A low power cmos decision feedback equalizer for a serial link receiver = 직렬 전송 수신기를 위한 저전력 결정 궤환 이퀄라이저link

Kim, Yong-Hun; 김용훈; et al, 한국과학기술원, 2013

9
A simple and low power capacitive crosstalk compensator = 전기용량 커플링 특성을 사용한 간단한 구조의 저전력 부호간 간섭 등화기link

Hwang, Kyu-Dong; 황규동; et al, 한국과학기술원, 2013

10
(A) 0.18um CMOS 10 Gb/s 1:4 DEMUX and 5 GHz PLL using fast locking scheme = 0.18um CMOS 공정을 이용한 10 Gb/s 1:4 DEMUX와 빠른 locking 방법을 이용한 5GHz PLLlink

Hong, Ju-Pyo; 홍주표; et al, 한국과학기술원, 2006

11
(A) 16 × 16-bit Splittable MAC unit for multimedia signal processing = 멀티미디어 신호 처리용 16 × 16-bit Splittable MAC 유닛의 설계link

Bae, Cheon-Ho; 배천호; et al, 한국과학기술원, 2000

12
(A) 250MHz - 2GHz wide range delay-locked loop = 250MHz - 2GHz에서 동작하는 넓은 lock 범위를 갖는 delay-locked looplink

Kim, Byung-Guk; 김병국; et al, 한국과학기술원, 2004

13
(A) data jitter tolerant low-power clock and data recovery with super-harmonic injection-locking = 슈퍼 하모닉 인젝션 락킹을 이용하여 데이터 지터에 강인한 저전력 클락 데이터 복원회로link

Jung, Chongsoo; Kim, Lee-Sup; et al, 한국과학기술원, 2017

14
(A) data pattern-tolerant adaptive equalizer using spectrum balancing method = 스펙트럼 균형을 이용하고 데이터형에 따라 적응 동작하는 이퀄라이저의 설계link

Joo, Hye-Yoon; 주혜윤; et al, 한국과학기술원, 2009

15
(A) DLL-based frequency synthesizer with selective reuse of a delay cell scheme for 2.4 GHz ISM band = 선택적 지연셀 재사용 기법을 사용한 2.4 GHz ISM band DLL 기반의 주파수 합성기link

Kang, Seok; 강석; Kim, Beom-Sup; Kim, Lee-Sup; et al, 한국과학기술원, 2004

16
(A) DNN accelerator architecture for the elimination of redundant computations and data = 불필요 연산 및 데이터 제거를 위한 심층 신경망 가속기 구조link

Park, Kangkyu; Kim, Lee-Sup; et al, 한국과학기술원, 2023

17
(A) Fault-tolerant scheme for TSV-based 3D network-on-chip = TSV기반 3D 네트워크-온-칩을 위한 결함-허용 기법link

Lee, Jae-Young; 이재영; et al, 한국과학기술원, 2013

18
(A) framework for mitigating non-idealities of ReRAM-based DNN accelerators = ReRAM 기반 심층 신경망 가속기의 비이상성 해결을 위한 프레임워크link

Shin, Hyein; Kim, Lee-Sup; et al, 한국과학기술원, 2023

19
(A) geometry engine architecture with survived vertex decision algorithm = 살아남는 정점만을 처리하는 방법을 이용한 기하연산 가속기의 구조link

Han, Chang-Young; 한창영; et al, 한국과학기술원, 2005

20
(A) graphics and vision unified processor with pose estimation engine for augmented reality = 증강현실을 위한 자세추정 엔진을 포함한 그래픽스와 비젼의 통합 프로세서link

Yoon, Jae-Sung; 윤재성; et al, 한국과학기술원, 2011

21
(A) hardware implementation of image scaler using winscale algorithm = Winscale 알고리즘을 이용한 image scaler 의 설계link

Seong, Si-Mun; 성시문; et al, 한국과학기술원, 2001

22
(A) high-performance memory interface using high-speed off-chip data transmission techniques = 고속 칩 외부 데이터 전송 기법들을 이용한 고성능 메모리 인터페이스 연구link

Oh, Kwang-Il; 오광일; et al, 한국과학기술원, 2009

23
(A) high-resolution and high-speed two-step time-to-digital converter using integrated time register and arithmetic circuit = 통합된 시간 레지스터와 연산 회로를 이용한 고해상도 고속 두 단계 시간-디지털 변환기link

Lee, Daewoong; Kim, Lee-Sup; et al, 한국과학기술원, 2015

24
(A) high-speed data-equalizer compensating large ISI for wireline receivers = 유선통신 수신기들에서 큰 심볼간 간섭을 보상하는 고속 데이터 이퀄라이저link

Lee, Daewoong; Kim, Lee-Sup; et al, 한국과학기술원, 2019

25
(A) high-speed floating point divider using improved quotient selection logic in redundant binary number system = 잉여 이진수 숫자체계에서 개선된 QSL을 이용한 고성능 부동소수점 나눗셈기의 설계link

Han, Sang-Wook; 한상욱; Yoon, Eui-Sik; Kim, Lee-Sup; et al, 한국과학기술원, 2001

26
(A) kernel decomposition architecture for binary-weight convolutional neural networks = 바이너리 웨이트 CNN을 위한 커널 분리 구조link

Kim, Hyeonuk; Kim, Lee-Sup; et al, 한국과학기술원, 2017

27
(A) Low Latency DRAM Architecture Exploiting Row Duplication = Row 복제를 활용한 낮은 레이턴시 DRAM 구조link

Choi, Jung Whan; 최정완; et al, 한국과학기술원, 2015

28
(A) Low power crosstalk compensation circuit in highly parallel I/O interface = 병렬 채널 환경에서의 저전력 크로스토크 보상 회로link

Lee, Tae-Ho; 이태호; et al, 한국과학기술원, 2012

29
(A) low power hybrid adder using single-stage multiplexer circuits = 1단 다중화 회로를 이용한 저전력 하이브리드 가산기link

Kim, Chi-Yeon; 김치연; et al, 한국과학기술원, 2005

30
(A) Low power injection locked oscillator for a forwarded clock receiver = 클럭 전송 수신기를 위한 저전력 주입 고정 발진기link

Seol, Ji-Hwan; 설지환; et al, 한국과학기술원, 2012

31
(A) low power source-synchronous injection-locked receiver with data equalization in near-threshold supply voltage = 문턱전압 근처의 전원에서 데이터 등화를 수행하는 저전력 소스동기화 주입 고정 수신기link

Lee, Dongil; Kim, Lee-Sup; et al, 한국과학기술원, 2018

32
(A) low-power low-latency image segmentation method exploiting resistor grid = 저항 격자 구조를 활용한 저전력, 고속의 이미지 분할 기법 연구link

Yeongjae Choi; 최영재; et al, 한국과학기술원, 2015

33
(A) memory optimized weight update architecture for on-device convolutional neural network training = 메모리 접근 최적화된 온-디바이스 CNN 학습 전용 웨이트 업데이트 아키텍처link

Choi, Seungkyu; Kim, Lee-Sup; et al, 한국과학기술원, 2018

34
(A) method to propagate area light illumination through a layered depth image via warping = 다층 깊이 영상의 뒤틀림을 통한 면 광원 조명의 전달에 관한 연구link

Im, Yeon-Ho; 임연호; et al, 한국과학기술원, 2005

35
(A) mitigation of resistance variations in ReRAM-based DNN accelerators by optimizing ADC reference voltages = 아날로그-디지털 변환기 기준전압 최적화를 통한 저항성 메모리 기반 심층신경망 가속기의 저항변동 완화link

Yoon, Jiwon; Kim, Lee-Sup; et al, 한국과학기술원, 2023

36
(A) mobile 3D display processor with a bandwidth-efficient subdivider = 서브디바이더와 병합된 모바일 3D 디스플레이 프로세서link

Kim, Seok-Hoon; 김석훈; et al, 한국과학기술원, 2010

37
(A) Mobile Ray Tracing Processor with Reconfigurable SIMT Multi-Core for High Datapath Utilization = 구조변경 가능한 SIMT 멀티코어 기반의 모바일 레이 트레이싱 프로세서link

Kim, Hong-Yun; 김홍윤; et al, 한국과학기술원, 2012

38
(A) multithread expanded VLIW vertex processor with vertex caches = 정점 캐쉬와 다중 쓰레드 확장 VLIW 구조를 가지는 정점 프로세서link

Changhyo Yu; 유창효; et al, 한국과학기술원, 2007

39
(A) NAND flash-based deep neural network accelerator exploiting bit-level sparsity = 비트 레벨 희소성을 활용하는 낸드 플래시 기반 DNN 가속기 구조link

강명구; Kim, Lee-Sup; et al, 한국과학기술원, 2020

40
(A) NAND flash-based on-die processing architecture for stochastic optimization of large scale DNNs = 거대 신경망의 확률적 최적화를 위한 낸드 플래시 기반 온-다이 처리 구조link

Kim, Junkyum; Kim, Lee-Sup; et al, 한국과학기술원, 2022

41
(A) pragmatic approach to on-device incremental learning system with selective weight updates = 선택적 웨이트 업데이트를 통한 기기 내 증분 학습 시스템에 대한 실용적인 접근link

신재강; Kim, Lee-Sup; et al, 한국과학기술원, 2020

42
(A) PVT-tolerant computing in-memory architecture for binary LSTM with customized 4T embedded DRAM cell array = PVT 변화에 강인한 맞춤형 4T Embedded DRAM 내에서의 바이너리 LSTM 연산 아키텍처link

Shin, Hyein; Kim, Lee-Sup; et al, 한국과학기술원, 2019

43
(A) reduced clock swing dual edge triggered flip-flop = 낮은 클럭 스윙 전압을 사용한 듀얼 에지 트리거드 플립 플롭link

Oh, Kwang-Il; 오광일; et al, 한국과학기술원, 2004

44
(A) redundancy-aware architecture to eliminate repeated computations in quantized convolutional neural networks = 양자화된 컨볼루셔널 신경망의 반복되는 연산을 제거하는 불필요한 연산 중복을 활용한 아키텍처link

Park, Kangkyu; Kim, Lee-Sup; et al, 한국과학기술원, 2019

45
(A) spread spectrum clock generator with a voltage reference and a process variation compensator = 정전압 회로와 프로세스 변화 보상회로를 가진 스프레드 스펙트럼 클럭 생성기link

Lee, Won-Young; 이원영; et al, 한국과학기술원, 2008

46
(A) study on signaling methods and circuits for a high speed memory interface = 고속의 메모리 인터페이스를 위한 시그널링 방법과 회로에 관한 연구link

Ha, Kyung-Soo; 하경수; et al, 한국과학기술원, 2010

47
(A) synchronous clock generator using time-to-digital converter for mobile memory application = 모바일 메모리 어플리케이션을 위한 시간-디지털 변환기를 이용한 클럭 동기화 회로link

Kim, Mi-Jo; 김미조; et al, 한국과학기술원, 2010

48
(A) unified shader using homogeneous stream processors with embedded special function units = SFU 가 내장된 동형 스트림 프로세서를 이용한 통합 쉐이더link

Kim, Young-Jun; 김영준; et al, 한국과학기술원, 2012

49
Acceleration of large-scale graph neural networks training by improving page utilization of solid-state drive = 솔리드-스테이트 드라이브의 페이지 활용도 향상을 통한 거대 그래프 신경망의 훈련 가속link

Jang, Youngmin; Kim, Lee-Sup; et al, 한국과학기술원, 2023

50
Adaptive Index selection for miss-rate reduction in a texture cache = 텍스쳐 캐쉬의 적중 실패율 감소를 위한 적응 인덱스 선택 방법link

Kim, Chun-Ho; 김춘호; et al, 한국과학기술원, 2005

51
Adaptive tessellation of PN triangle with modified bresenham algorithm = 수정 Bresenham 알고리즘을 이용한 PN triangle의 적응 모자이크 가공 기법에 관한 연구link

Chung, Kyu-Sik; 정규식; et al, 한국과학기술원, 2003

52
Adaptive tessellation of PN triangles using minimum-artifact edge linking = 최소 결함 에지 연결을 이용한 PN Triangle의 적응 모자이크 기법link

Choi, Yun-Seok; 최윤석; et al, 한국과학기술원, 2005

53
Algorithm / hardware co-design for adversarial training acceleration through selective computations = 적대적 학습의 가속을 위한 선택적 연산 알고리즘 및 하드웨어 공동설계link

Ra, Jongwoo; Kim, Lee-Sup; et al, 한국과학기술원, 2023

54
All-digital fast-locking clock synchronization methods using synchronous mirror delay = 동기 미러 지연소자를 이용한 전디지털 고속로킹 클럭 동기화 방법link

Sung, Ki-Hyuk; 성기혁; et al, 한국과학기술원, 2004

55
(An) area efficient asynchronous gated-ring oscillator time-to-digital converter = 면적효율을 증가시킨 비동기식 게이티드링 오실레이터 시간-디지털 변환기link

Hwang, Kyu-Dong; 황규동; et al, 한국과학기술원, 2009

56
(An) area efficient unified early Z-test for embedded 3D graphics processor = 임베디드 3D 그래픽스 프로세서에 적합한 통합 early Z-test 방법link

Kim, Hong-Yun; 김홍윤; et al, 한국과학기술원, 2008

57
(An) area-efficient reconfigurable CNN-LSTM architecture for automatic speech recognition system = 음성인식 시스템을 위한 면적 효율적인 재구성 가능한 CNN-LSTM 아키텍처link

Kim, Hyunho; Kim, Lee-Sup; et al, 한국과학기술원, 2018

58
(An) efficient memory interface design based on access pattern analysis for SoC-based 3D graphics accelerator = SoC 기반의 3차원 그래픽스 가속기의 억세스 패턴 분석에 기반한 효율적인 메모리 억세스 방법에 관한 연구link

Kim, Joung-Youn; 김정연; et al, 한국과학기술원, 2005

59
(An) efficient texture cache for programmable vertex shaders = Vertex shader를 지원하기 위한 효과적인 텍스쳐 캐쉬 설계link

Cho, Seung-Hyun; 조승현; et al, 한국과학기술원, 2006

60
(An) energy-effcient deep neural network acceleration by exploiting cross-layer weight scaling and bit-level data sharing = 교차 계층 가중치 상호 변환 및 비트 수준 데이터 공유를 활용한 에너지 효율적 심층 신경망 가속link

Jung, Youngbeom; Kim, Lee-Sup; et al, 한국과학기술원, 2021

rss_1.0 rss_2.0 atom_1.0