Browse "College of Engineering(공과대학)" by Type Patent

Showing results 1181 to 1200 of 14017

1181
Metal nanowire electrode and manufacturing method of the same

Ahn, Jae Ho; Han, Sang Youn; Lee, Jung-Yong

1182
METAL-BASED PACKAGE SUBSTRATE, THREE-DIMENSIONAL MULTI-LAYERED PACKAGE MODULE USING THE SAME, AND MANUFACTURING METHOD THEREOF

권영세, 2010-04-14

1183
Metal-supported anion exchange resins and method of remediating toxic anions using the same

최민기; 김유나, 2017-12-19

1184
METAL-SUPPORTED SOLID OXIDE FUEL CELL AND MANUFACTURING METHOD THEREOF

Bae, Joongmyeon; Baek, Seung-Wook; Lee, Changbo; Bae, Gyujong; Jeong, Jaehwa; Kim, Yu-Mi, 2012-10-16

1185
METHOD AND AN APPARATUS FOR PROCESSING A VIDEO SIGNAL

Byeong Moon JEON; Seung Wook PARK; Joon Young PARK; Jae Won SUNG; Park, HyunWook; Jee Hong LEE; Jin Young LEE; et al, 2014-06-10

1186
Method and an apparatus for processing a video signal

박현욱; 이지홍; 전동산; 이진영; PIAO YINJI; 전병문; 박준영; et al, 2014-06-24

1187
Method and an apparatus for processing a video signal

Jeon, Byeong Moon; Park, Seung Wook; Park, Joon Young; Jae Won Sung; Park, HyunWook; Lee, Jee Hong; Lee, Jin Young; et al, 2013-06-11

1188
Method and an apparatus for processing a video signal

Park, HyunWook; Lee, Jeehong; Jun, Dongsan; Lee, Jin Young; Piao, Yinji; Jeon, Byeong Moon; Park, Joon Young; et al, 2014-10-21

1189
METHOD AND AN APPARATUS FOR PROCESSING A VIDEO SIGNAL

박현욱; 이지홍; 전동산; 이진영; PIAO YINJI; 전병문; 박준영; et al, 2014-06-10

1190
Method and an apparatus for processing a video signal

Jeon, Byeong Moon; Park, Seung Wook; Park, Joon Young; Sung, Jae Won; Park, Hyun Wook; Lee, Jee Hong; Lee, Jin Young; et al

1191
METHOD AND AN APPARATUS FOR PROCESSING A VIDEO SIGNAL

Park, Hyun Wook; JEON, Byeong Moon; PARK, Seung Wook; PARK, Joon Young; SUNG, Jae Won; LEE, Jee Hong; LEE, Jin Young; et al

1192
Method and apparatus for accelerating loading of mobile application content

한동수; Choi, Byung kwon; Kim, Jeong min

1193
Method and apparatus for adaptive beam hopping in multi cell multi user communication system

Choi, Wan; Shin, Dae-Kyu; Kang, Myung-Gil; Suh, Sang-Wook, 2018-10-16

1194
Method and apparatus for adjusting camera top-down angle for mobile document capture

이의진; 오정민; 최우혁, 2017-06-27

1195
METHOD AND APPARATUS FOR BEAM-FORMING

Park, Chul Soon; Choi, Won-Suk; Lee, Chae Jun; Song, In-Sang; Lee, Joong-Ho; Oh, Inn-Yeal

1196
Method and apparatus for beamforming using polarized antenna in a wireless communication system

정세영; 강지원; 고현수; 정재훈, 2017-04-18

1197
Method and apparatus for biometric authentication based on vibration signal

Park, Yong-Hwa; Yoo, Hyewon

1198
Method and apparatus for building Wi-Fi radio map

한동수, 2016-09-13

1199
Method and apparatus for classifying traffic at transport layer

Park, Hong-Shik; Han, Young Tae, 2011-07-05

1200
METHOD AND APPARATUS FOR CONTROLLING BOOSTER CIRCUIT AND APPARATUS FOR EXTRACTING MAXIMUM POWER BY USING THE SAME

조규형; 권희동; 육영섭; 류제인; 곽규섭; 안준현, 2018-05-01

rss_1.0 rss_2.0 atom_1.0