Lithography Defect Probability and Its Application to Physical Design Optimization

Cited 2 time in webofscience Cited 0 time in scopus
  • Hit : 700
  • Download : 0
DC FieldValueLanguage
dc.contributor.authorShim, Seongboko
dc.contributor.authorChung, Woohyunko
dc.contributor.authorShin, Youngsooko
dc.date.accessioned2017-04-14T08:15:54Z-
dc.date.available2017-04-14T08:15:54Z-
dc.date.created2016-11-21-
dc.date.created2016-11-21-
dc.date.created2016-11-21-
dc.date.created2016-11-21-
dc.date.issued2017-01-
dc.identifier.citationIEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, v.25, no.1, pp.271 - 285-
dc.identifier.issn1063-8210-
dc.identifier.urihttp://hdl.handle.net/10203/223097-
dc.description.abstractModern standard cells contain intercell margins at the left and right ends for better lithography. We introduce defect probability, which is the probability that a lithography defect occurs if the margins between two adjacent cells are missing. Computing the defect probability of all cell pairs is impractical due to lengthy lithography simulations and huge number of cell pair combinations. Two approximate methods are employed to make this computation possible: reducing the range of optical proximity correction and grouping cell pairs of similar geometry at the cell boundary. We also present how the cell layout can be modified for a lower defect probability with no impact on the cell electrical parameters. Defect probability is applied to two physical design optimization problems. In the automatic placement, we consider that all cells are initially without margins. We want to locate two cells adjacent if their defect probability is zero (or negligibly small) or insert margins in between; this is achieved using the average defect probability as one of the cost terms of the placement. Experiments in 28-nm commercial library demonstrate an 8% reduction in the area with a 4% shorter wirelength. In the second application, we assume that the standard placement using cells with margins have been performed. We want to identify redundant margins that can be removed while the defect probability is kept zero. We take a step forward and shuffle the location of a few consecutive cells in the same row so that more redundant margins are identified. Once all the redundant margins are removed, newly created whitespace is distributed to reduce routing congestion in highly congested areas. Experiments indicate a 48% reduction in the number of overflow routing grids.-
dc.languageEnglish-
dc.publisherIEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC-
dc.titleLithography Defect Probability and Its Application to Physical Design Optimization-
dc.typeArticle-
dc.identifier.wosid000394591600022-
dc.identifier.scopusid2-s2.0-84973540989-
dc.type.rimsART-
dc.citation.volume25-
dc.citation.issue1-
dc.citation.beginningpage271-
dc.citation.endingpage285-
dc.citation.publicationnameIEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS-
dc.identifier.doi10.1109/TVLSI.2016.2572224-
dc.contributor.localauthorShin, Youngsoo-
dc.description.isOpenAccessN-
dc.type.journalArticleArticle-
dc.subject.keywordAuthorDefect probability-
dc.subject.keywordAuthorintercell margin-
dc.subject.keywordAuthorlithography defect-
dc.subject.keywordAuthorphysical design-
dc.subject.keywordAuthorplacement-
dc.subject.keywordAuthorpost-placement optimization-
Appears in Collection
EE-Journal Papers(저널논문)
Files in This Item
There are no files associated with this item.
This item is cited by other documents in WoS
⊙ Detail Information in WoSⓡ Click to see webofscience_button
⊙ Cited 2 items in WoS Click to see citing articles in records_button

qr_code

  • mendeley

    citeulike


rss_1.0 rss_2.0 atom_1.0