Browse "School of Electrical Engineering(전기및전자공학부)" by Author 박인철

Showing results 46 to 105 of 216

46
C-Based Design Methodology

박인철, 대한전자공학회 CAD 및 VLSI 설계 연구회 학술발표회, pp.993 - 996, 대한전자공학회, 1997

47
CCP와 시뮬레이티드 어닐링을 이용한 회로 배치

경종민; 박인철, 씨에이디연구회 합동학술발표회, v.6, no.1, pp.215 - 218, 1988년도 반도체 재료및 부품연구회, 1988

48
Circuit block placement using simulated annealing = 시뮬레이티드 어닐링을 이용한 회로블락의 배치link

Park, In-Chul; 박인철; et al, 한국과학기술원, 1988

49
Circuit Placement Using CCP and Simulated Annealing

박인철; 경종민, Conference on Semiconductors, Materials, Components and CAD, pp.215 - 218, 1988

50
Co-simulation based system performance analysis using SystemC = 시스템씨를 사용한 코시뮬레이션 방식의 시스템 성능 분석link

Kang, Se-Hyeon; 강세현; et al, 한국과학기술원, 2002

51
Coherence Management Unit Saving Modified Lines Internally for Multicore System

김은찬; 김봉진; 김태환; 박인철, 대한전자공학회 하계종합학술대회, 대한전자공학회, 2010-06-17

52
Combined image signal processing for CMOS image sensors = CMOS 이미지 센서를 위한 결합된 영상 신호 처리 기법link

Kim, Ki-Mo; 김기모; et al, 한국과학기술원, 2005

53
Comparison Between BCH and RS Decoders for High Performance Solid-State Drive

유호영; 이영주; 박인철, 대한전자공학회 하계종합학술대회, 대한전자공학회, 2012-06-29

54
Depth and image sensing system with offset pixels: its hardware accelerator and focus-diversity system = 오프셋 화소를 활용한 영상 및 깊이 정보 추출: 하드웨어 가속기와 다초점 시스템에의 응용link

Kim, Young-Gyu; Park, In-Cheol; 박인철; Kyung, Chong-Min; et al, 한국과학기술원, 2021

55
Design and analysis of low-power, mismatch-tolerance SAR analog-to-digital converter = 공정 오류에 강인한 저전력 연속 근사 레지스터 아날로그 디지털 변환기의 설계 및 분석link

Lee, Young-Joo; 이영주; et al, 한국과학기술원, 2010

56
Design and implementation of high-performance radix-4 turbo decoder for multiple 4G standards = 4세대 이동통신을 위한 다표준 지원 고성능 터보 디코더의 설계 및 구현link

Kim, Ji-Hoon; 김지훈; et al, 한국과학기술원, 2009

57
Design and Implementation of low-complexity tone reservation method for papr reduction in OFDM systems = OFDM 시스템의 PAPR 감쇄를 위한 낮은 복잡도를 가진 Tone Reservation 방식의 설계 및 구현link

Park, Kang-Woo; 박강우; et al, 한국과학기술원, 2010

58
Design of a CNN accelerating system for real-time object detection = 실시간 객체 인식을 위한 합성곱 신경망 가속 시스템 설계link

Na, Seungho; Park, In-Cheol; et al, 한국과학기술원, 2020

59
Design of a high-throughput and area-efficient SHA-2 hardware for SSDs = SSD를 위한 고성능 저면적 SHA-2 하드웨어의 설계link

Hwang, Mi-Na; 황미나; et al, 한국과학기술원, 2014

60
Design of a high-throughput CABAC encoder of HEVC standard for 8K video coding = HEVC 표준의 8K 비디오 코딩을 위한 고성능 CABAC 부호기의 설계link

Jo, Jihyuck; 조지혁; et al, 한국과학기술원, 2014

61
Design of a low power floating point adder = 저전력 부동 소수점 가산기의 설계link

Kim, Tae-Min; 김태민; et al, 한국과학기술원, 2000

62
Design of a low power MP3 decoder = 저전력 MP3 복호화기의 설계link

Yi, Yong-Seok; 이용석; Park, In-Cheol; Kyung, Chong-Min; et al, 한국과학기술원, 2001

63
Design of a MAC address lookup unit for the gigabit ethernet switch = 기가비트 이더넷 스위치를 위한 MAC 주소 검색부의 설계link

Lee, Seung-Wang; 이승왕; Park, In-Cheol; Kyoung, Chong-Min; et al, 한국과학기술원, 1999

64
Design of a media stream processor based on an embedded core = 임베디드 코어를 이용한 미디어 스트림 처리 하드웨어의 설계link

Kim, Eun-Chan; 김은찬; et al, 한국과학기술원, 2011

65
Design of a media stream processor based on an embedded core = 임베디드 코어를 이용한 미디어 스트림 처리 하드웨어의 설계link

Kim, Eun-Chan; 김은찬; et al, 한국과학기술원, 2011

66
Design of a modular multiplier for RSA cryptosystems = RSA 암호화 시스템을 위한 모듈러 곱셈기의 설계link

Seo, Bo-Ik; 서보익; et al, 한국과학기술원, 2001

67
Design of a small-area and high-throughput turbo decoder for LTE-advanced systems = LTE-Advanced 시스템을 위한 저면적 고성능 터보 디코더의 설계link

Yoo, In-Jae; 유인재; et al, 한국과학기술원, 2013

68
Design of an area-efficient high-speed V-BLAST detector for MIMO-OFDM systems MIMO-OFDM = 시스템을 위한 저면적 고성능 V-BLAST detector 설계link

Choi, Yong-Woo; 최용우; et al, 한국과학기술원, 2004

69
Design of an efficient K-best MIMO detector based on distributed sorting with interleaving = 인터리빙을 적용한 분산 정렬 기반의 효율적인 K-best MIMO 검파기의 설계link

Kim, Bum-Dol; 김범돌; et al, 한국과학기술원, 2007

70
Design of an efficient synchronizer for IEEE 802.16d systems = IEEE 802.16d 시스템을 위한 효율적인 동기화기의 설계link

Kim, Tae-Hwan; 김태환; et al, 한국과학기술원, 2007

71
Design of CMOS receiver front-end for wireless communications = 무선 통신을 위한 CMOS 수신단의 설계link

Ahn, Hyung-Ki; 안형기; Kim, Beom-Sup; Park, In-Cheol; et al, 한국과학기술원, 2004

72
Design of FM synthesizer using modified operator control and accurate envelope curve = 개선된 오퍼레이터 제어와 정밀한 포락선 곡선을 이용한 FM 합성기의 구현link

Lee, Seok-ho; 이석호; et al, 한국과학기술원, 2008

73
Design of sigma-delta modulators with calibrated mixed-mode integrators = 보정된 혼성 모드 적분기를 이용한 시그마 델타 변조기의 설계link

Shim, Jae-Hoon; 심재훈; et al, 한국과학기술원, 2005

74
Disparity estimation method and processor architecture for real-time image processor = 실시간 이미지 프로세서에 적합한 시차 추정 방법 및 프로세서 아키텍쳐link

Bae, Eun-joo; 배은주; et al, 한국과학기술원, 2008

75
Dual-issue real-time CABAC decoder for high definition H.264/AVC bitstream = 고화질 H.264/AVC 영상용 이중 이슈 실시간 CABAC 복호기link

Son, Won-Hee; 손원희; et al, 한국과학기술원, 2009

76
Dynamic multi-bit successive cancellation list decoding of polar codes = 극 부호의 동적 다중비트 연속 제거 리스트 복호link

Park, Jaehyeon; Park, In-Cheol; et al, 한국과학기술원, 2020

77
Edge-preserving image scaling technique for display devices = 영상기기를 위한 edge 보전 image scaling 기법link

Lee, Jae-Bong; 이재봉; et al, 한국과학기술원, 2011

78
Edge-preserving image scaling technique for display devices = 영상기기를 위한 edge 보전 image scaling 기법link

Lee, Jae-Bong; 이재봉; et al, 한국과학기술원, 2011

79
Efficient hardware architecture for mode decision in H.264/MPEG-4 AVC encoder = H.264/MPEG-4 AVC 부호화기에서의 모드 결정을 위한 효율적인 하드웨어 구조link

Kim, Sung-Jin; 김성진; et al, 한국과학기술원, 2009

80
Efficient inter prediction mode decision for low-power high-speed HEVC encoding = 저전력 고속 HEVC 부호화를 위한 효율적인 화면 간 예측 모드 결정link

Lee, Jooseung; Park, In-Cheol; et al, 한국과학기술원, 2016

81
Energy-efficient dataflow and VLSI architecture for deep neural networks = 심층 신경망을 위한 에너지 효율적인 데이터 흐름과 VLSI 구조link

Kim, Suchang; Park, In-Cheol; et al, 한국과학기술원, 2018

82
Energy-efficient high-accuracy pedestrian detection system for 1080HD in real-time with multi-scale support = 실시간 1080HD 멀티 스케일을 지원하는 에너지 효율적인 고성능 보행자 검출기link

Charfi, Karim; Charfi Karim; et al, 한국과학기술원, 2015

83
Energy-efficient partial LDPC decoding algorithm and its implementation for NAND flash-based storage systems = 낸드 플래시 저장장치를 위한 에너지 효율적인 LDPC 부분복호 알고리듬 및 복호기 구조link

Jung, Jaehwan; 정재환; et al, 한국과학기술원, 2014

84
Enhanced successive-cancellation decoding hardware architecture of polar codes based on syndrome check pruning method = 신드롬 확인 가지치기방식을 기반으로 한 향상된 연속 제거 복호 하드웨어 구조link

Choi, Su-Young; Park, In-Cheol; et al, 한국과학기술원, 2021

85
Fast acquisition all-digital PLL using sensor assisted DCO control = Sensor기반의 DCO 제어를 이용한 빠른 위상동기를 가지는 All-Digital PLLlink

Jeon, Hae-Soo; 전해수; et al, 한국과학기술원, 2007

86
Fast CABAC decoding for the real-time processing of HD H.264/AVC = 실시간 HD급 H.264/AVC 처리를 위한 문맥적응적 이진산술부호의 고속복호화link

Yi, Yong-Seok; 이용석; et al, 한국과학기술원, 2007

87
Fast coding unit mode decision for hevc intra coding based on breadth-first search = Breadth-First 검색에 기반한 HEVC 인트라 코딩 유닛 모드 결정link

Cha, So-Young; 차소영; et al, 한국과학기술원, 2014

88
Fault-Tolerant ECU Platform Including an In-Vehicle Ethernet Network Controller

유인재; 정재환; 박인철, The 22th Korean Conference on Semiconductors, Korean Conference, 2015-01

89
H.264/AVC Main Profile의 실시간 복호화를 위한 CABAC 복호기의 설계

이용석; 박인철, SoC 학술대회, pp.299 - 302, 2005-03-21

90
H.264/AVC를 위한 고속의 intra/inter 모드 결정 기법 및 고성능의 Intra 예측 = Fast intra/inter mode decision and high throuhput hardware implementation of intra prediction for H.264/AVClink

Celal, AVCI; Park, In-Cheol; et al, 한국과학기술원, 2009

91
Hard-information based majority-logic decoding method and structure for non-binary LDPC codes = 논바이너리 LDPC용 경정보 기반 다수결 논리 복호 방법과 구조link

Yeo, Saedong; 여세동; et al, 한국과학기술원, 2016

92
Hardware optimizations for advanced forward error correction = 고급 순방향 오류정정을 위한 하드웨어 최적화link

Lee, Youngjoo; 이영주; et al, 한국과학기술원, 2014

93
High speed decoding of context-adaptive binary arithmetic codes based on most orobable symbol prediction = 예측기법을 통한 고속 Context adaptive binary arithmetic coder의 설계link

Kim, Chung-Hyo; 김충효; et al, 한국과학기술원, 2005

94
High Speed Decoding of Context-based Adaptive Binary Arithmetic Code using Most Probable Symbol Prediction

김충효; 박인철, 제12회 한국 반도체 학술대회 , pp.31 - 32, 2005-02

95
High-speed and low-power VLSI design of global optical flow estimation method for mobile systems = 모바일 시스템을 위한 전역적 옵티컬 플로우 추정 방법의 고속 및 저전력 VLSI 설계link

Jang, Sung-Joon; Park, In-Cheol; 박인철; Kyung, Chong-Min; et al, 한국과학기술원, 2021

96
High-throughput and small-area sphere decoders for MIMO communication Systems = MIMO 통신 시스템을 위한 고성능 저면적의 스피어 디코더link

Kim, Tae-Hwan; 김태환; et al, 한국과학기술원, 2010

97
Image noise removal using thresholding and statistical modeling methods = 임계화와 통계적 모델링 방법을 이용한 영상 잡음 제거link

Seo, Yeong Jin; 서영진; et al, 한국과학기술원, 2015

98
Implementation of AT91SAM9XE (ARM926EJ-S) Processor Simulator Using QEMU

윤동준; 유호영; 조지혁; 박인철, 대한전자공학회 하계종합학술대회, 대한전자공학회, 2012-06-29

99
Implementation of Efficient Embedded Environment Adopting On-chip Debug system

이영주; 송진욱; 김봉진; 김은찬; 임고은; 박인철, 대한전자공학회 하계종합학술대회, 대한전자공학회, 2010-06-17

100
Implementation of Generator for Various Area-efficient High-performance Reed-Solomon Decoders

유호영; 이영주; 박인철, 대한전자공학회 추계학술대회, 대한전자공학회, 2011-11-26

101
Implementation of lifting-based discrete wavelet transform processor using look-ahead scheme = Look-ahead 방식을 이용한 리프팅 이산 웨이브렛 변환 프로세서의 구현link

Song, Jin-ook; 송진욱; et al, 한국과학기술원, 2008

102
Implementation of Prefetch Unit Capable of Branch Prediction for RISC Processor

김창현; 박인철, 대한전자공학회 추계학술대회, 대한전자공학회, 2011-11-26

103
Interleaved local sorting for successive cancellation list decoding of polar codes = 극 부호의 연속 제거 리스트 복호를 위한 재배치된 국부적 분류link

Kim, Wooyoung; Park, In-Cheol; et al, 한국과학기술원, 2020

104
JPEG2000을 위한 Lifting-based Forward DWT의 VLSI구조

김정욱; 강형주; 박인철, 대한전자공학회 추계학술대회, 대한전자공학회, 2003-11-29

105
LDPC 디코더, 반도체 메모리 시스템 및 그것의 동작 방법

박인철; 정재환

Discover

Type

. next

Open Access

Date issued

. next

Subject

. next

rss_1.0 rss_2.0 atom_1.0