Mutually Aware Prefetcher and On-Chip Network Designs for Multi-Cores

Cited 2 time in webofscience Cited 3 time in scopus
  • Hit : 905
  • Download : 0
DC FieldValueLanguage
dc.contributor.authorLee, Jung Hoonko
dc.contributor.authorKim, Han Joonko
dc.contributor.authorShin, Minjeongko
dc.contributor.authorKim, John Dongjunko
dc.contributor.authorHuh, Jaehyukko
dc.date.accessioned2014-12-08T04:53:14Z-
dc.date.available2014-12-08T04:53:14Z-
dc.date.created2013-07-03-
dc.date.created2013-07-03-
dc.date.issued2014-09-
dc.identifier.citationIEEE TRANSACTIONS ON COMPUTERS, v.63, no.9, pp.2316 - 2329-
dc.identifier.issn0018-9340-
dc.identifier.urihttp://hdl.handle.net/10203/191276-
dc.description.abstractHardware prefetching has become an essential technique in high performance processors to hide long external memory latencies. In multi-core architectures with cores communicating through a shared on-chip network, traffic generated by the prefetchers can account for up to 60% of the total on-chip network traffic. However, the distinct characteristics of prefetch traffic have not been considered in on-chip network design. In addition, prefetchers have been oblivious to the network congestion. In this work, we investigate the interactions between prefetchers and on-chip networks, exploiting the synergy of these two components in multi-cores. Firstly, we explore the design space of prefetch-aware on-chip networks. Considering the difference between prefetch and non-prefetch packets, we propose a priority-based router design, which selects non-prefetch packets first over prefetch packets. Secondly, we investigate network-aware prefetcher designs. We propose a prefetch control mechanism sensitive to network congestion-throttling prefetch requests based on the current network congestion. Our evaluation with full system simulations shows that the combination of the proposed prefetch-aware router and congestion-sensitive prefetch control improves the performance of benchmark applications by 11-12% with out-of-order cores, and 21-22% with SMT cores on average, up to 37% on some of the workloads.-
dc.languageEnglish-
dc.publisherIEEE COMPUTER SOC-
dc.subjectINTERCONNECTION NETWORKS-
dc.subjectFLOW-CONTROL-
dc.subjectPERFORMANCE-
dc.subjectMULTIPROCESSORS-
dc.titleMutually Aware Prefetcher and On-Chip Network Designs for Multi-Cores-
dc.typeArticle-
dc.identifier.wosid000343886200016-
dc.identifier.scopusid2-s2.0-84928137987-
dc.type.rimsART-
dc.citation.volume63-
dc.citation.issue9-
dc.citation.beginningpage2316-
dc.citation.endingpage2329-
dc.citation.publicationnameIEEE TRANSACTIONS ON COMPUTERS-
dc.identifier.doi10.1109/TC.2013.99-
dc.contributor.localauthorKim, John Dongjun-
dc.contributor.localauthorHuh, Jaehyuk-
dc.contributor.nonIdAuthorShin, Minjeong-
dc.type.journalArticleArticle-
dc.subject.keywordAuthorComputer architecture-
dc.subject.keywordAuthoron-chip networks-
dc.subject.keywordAuthorflow controls-
dc.subject.keywordAuthormuti-cores-
dc.subject.keywordAuthorhardware prfetcher-
dc.subject.keywordAuthormemory hierarchies-
dc.subject.keywordPlusINTERCONNECTION NETWORKS-
dc.subject.keywordPlusFLOW-CONTROL-
dc.subject.keywordPlusPERFORMANCE-
dc.subject.keywordPlusMULTIPROCESSORS-
Appears in Collection
EE-Journal Papers(저널논문)CS-Journal Papers(저널논문)
Files in This Item
There are no files associated with this item.
This item is cited by other documents in WoS
⊙ Detail Information in WoSⓡ Click to see webofscience_button
⊙ Cited 2 items in WoS Click to see citing articles in records_button

qr_code

  • mendeley

    citeulike


rss_1.0 rss_2.0 atom_1.0