Browse by Subject leakage

Showing results 1 to 16 of 16

1
Analysis of additional leakage resulting from the feeding motion of a vacuum-compatible air bearing stage

Khim, Gyungho; Park, Chun-Hong; Lee, Husang; Kim, Seung-Woo, VACUUM, v.81, no.4, pp.466 - 474, 2006-11

2
Design and Optimization of Power-Gated Circuits With Autonomous Data Retention

Seomun, Jun; Shin, Young-Soo, IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, v.19, no.2, pp.227 - 236, 2011-02

3
Experimental Study of Ground Subsidence Mechanism Caused by Sewer Pipe Cracks

Karoui, Tarek; Jeong, Seong-Yun; Jeong, Yeong-Hoon; Kim, Dong-Soo, APPLIED SCIENCES-BASEL, v.8, no.5, 2018-05

4
HLS-dv: High-level synthesis of dual-$V_{dd}$ architectures = 이중 전압을 이용한 아키텍처의 상위 수준 합성link

Shin, In-Sup; 신인섭; et al, 한국과학기술원, 2009

5
HLS-pg: High-Level Synthesis of Power-Gated Circuits

Choi, Eunjoo; Shin, Changsik; Shin, Youngsoo, IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, v.28, no.3, pp.451 - 456, 2009-03

6
Leakage characteristics of the glass fabric composite barriers of LNG ships

Kim, Bu-Gi; Lee, Dai-Gil, COMPOSITE STRUCTURES, v.86, no.1-3, pp.27 - 36, 2008-11

7
Leakage Mitigation in Heterodyne FMCW Radar for Small Drone Detection With Stationary Point Concentration Technique

Park, Junhyeong; Park, Seungwoon; Kim, Do-Hoon; Park, Seong-Ook, IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, v.67, no.3, pp.1221 - 1232, 2019-03

8
LOOKUP TABLE-BASED ADAPTIVE BODY BIASING OF MULTIPLE MACROS FOR PROCESS VARIATION COMPENSATION AND LOW LEAKAGE

Choi, B; Shin, Youngsoo, JOURNAL OF CIRCUITS SYSTEMS AND COMPUTERS, v.19, no.7, pp.1449 - 1464, 2010-11

9
Minimizing leakage of sequential circuits through flip-flop skewing and technology mapping = 플립플랍 비대칭화와 테크놀로지 매핑을 통한 순차회로의 누설전류 감소link

Heo, Se-Wan; 허세완; et al, 한국과학기술원, 2007

10
Minimizing leakage power in sequential circuits by using mixed $V_t$ Flip-Flops = 혼합 문턱전압 플립플랍을 이용한 순차 회로의 누설 전류 감소 기법link

Kim, Jae-Hyun; 김재현; et al, 한국과학기술원, 2008

11
Potentiometric mixer noise analysis under leakage condition = 송신기의 누설신호가 Potentiometric Mixer의 잡음 성능에 미치는 영향link

Lee, Sang-Sung; 이상성; et al, 한국정보통신대학교, 2008

12
Semicustom design methodology of power gated circuits for low leakage applications

Kim, HO; Shin, Youngsoo, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.54, no.6, pp.512 - 516, 2007-06

13
Supply switching with ground collapse: Simultaneous control of subthreshold and gate leakage current in nanometer-scale CMOS circuits

Shin, Youngsoo; Heo, Sewan; Kim, Hyung-Ock; Choi, Jung Yun, IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, v.15, no.7, pp.758 - 766, 2007-07

14
수압과 균열폭 변화에 따른 콘크리트 투수계수의 실험적 연구

현태양; 김진용; 김진근, 콘크리트학회 논문집, v.20, no.3, pp.291 - 298, 2008-06

15
수압과 균열폭 변화에 따른 콘크리트 투수계수의 실험적 연구 = Permeability of cracked concrete as a function of hydraulic pressure and crack widthlink

현태양; Hyun, Tae-Yang; et al, 한국과학기술원, 2007

16
수압과 균열폭 변화에 따른 콘크리트 투수계수의 실험적 연구 = Permeability of cracked concrete as a function of hydraulic pressure and crack widthlink

김귀옥; Jin, Gui-Yu; et al, 한국과학기술원, 2008

rss_1.0 rss_2.0 atom_1.0