Browse by Subject etching

Showing results 1 to 27 of 27

1
Chemical effect of dry and wet cleaning of the Ru protective layer of the extreme ultraviolet lithography reflector

Belau, L; Park, JeongYoung; Liang, T; Seo, H; Somorjai, GA, JOURNAL OF VACUUM SCIENCE TECHNOLOGY B, v.27, no.4, pp.1919 - 1925, 2009-07

2
Deposition behavior of Si on insulating and conducting substrates in the CVD process: approach by charged cluster model

Hwang, NM; Cheong, WS; Yoon, Duk Yong, JOURNAL OF CRYSTAL GROWTH, v.206, no.3, pp.177 - 186, 1999-10

3
Dry etching characteristics of Pb(ZrTi)O-3 films in CF4 and Cl-2/CF4 inductively coupled plasmas

Jung, JK; Lee, Won-Jong, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES REVIEW PAPERS, v.40, no.3A, pp.1408 - 1419, 2001-03

4
Effects of carbon monoxide addition to chlorine plasma-treated platinum films

Kim, JH; Woo, Seong-Ihl, APPLIED SURFACE SCIENCE, v.156, no.1-4, pp.9 - 15, 2000-02

5
Effects of etching time and thickness on the performance of the microstrip line resonator of YBa2Cu3Ox thin films

Choi, JW; Hong, Daniel Seungbum; Jun, BH; Sung, TH; No, Kwangsoo; Park, Y, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES REVIEW PAPERS, v.38, no.4A, pp.1941 - 1944, 1999-04

6
Etch-induced damage in single crystal Si trench etching by planar inductively coupled Cl-2/N-2 and Cl-2/HBr plasmas

Lee, JeongYong; Hwang, SW; Yeom, GY; Lee, JW; Lee, JY, THIN SOLID FILMS, v.341, no.1-2, pp.168 - 171, 1999-03

7
Etching behavior and damage recovery of SrBi2Ta2O9 thin films

Lee, WJ; Cho, CR; Kim, SH; You, IK; Kim, BW; Yu, BG; Shin, CH; et al, JAPANESE JOURNAL OF APPLIED PHYSICS PART 2-LETTERS, v.38, no.12A, pp.1428 - 1431, 1999-12

8
Fabrication and characterization of porous silicon using electrochemical etching = 전기화학적 식각을 통한 다공성 실리콘 제작과 그 특성 연구link

Go, Hee-Young; 고희영; et al, 한국과학기술원, 2008

9
Fabrication of silicon nanopillar-based nanocapacitor arrays

Chang, Shih-wei; Oh, Jihun; Boles, Steven T.; Thompson, Carl V., APPLIED PHYSICS LETTERS, v.96, no.15, 2010-04

10
Facile Synthesis of Pt-Functionalized Meso/Macroporous SnO2 Hollow Spheres through in Situ Templating with SiO2 for H2S Sensors

Bulemo, Peresi Majura; Cho, Hee-Jin; Kim, Dong Ha; Kim, Il-Doo, ACS APPLIED MATERIALS & INTERFACES, v.10, no.21, pp.18183 - 18191, 2018-05

11
Improved oxidation resistance of Ru/Si capping layer for extreme ultraviolet lithography reflector

Park, JeongYoung; Belau, L; Seo, H; Somorjai, GA, JOURNAL OF VACUUM SCIENCE TECHNOLOGY B, v.29, no.4, 2011-07

12
Lithium insertion into purified and etched multi-walled carbon nanotubes synthesized on supported catalysts by thermal CVD

Eom, JY; Kwon, Hyuk-Sang; Liu, J; Zhou, O, CARBON, v.42, no.12-13, pp.2589 - 2596, 2004

13
Mesoporous SnO2 Nanotubes via Electrospinning-Etching Route: Highly Sensitive and Selective Detection of H2S Molecule

Bulemo, Peresi Majura; Cho, Hee-Jin; Kim, Nam Hoon; Kim, Il-Doo, ACS APPLIED MATERIALS & INTERFACES, v.9, no.31, pp.26304 - 26313, 2017-08

14
Molecular dynamics simulation of energetic ion bombardment onto a-Si(3)N(4) surfaces

Kim, DH; Kim, DoHyun; Lee, KS, JOURNAL OF CRYSTAL GROWTH, v.230, no.1-2, pp.285 - 290, 2001-08

15
Novel High-Radiance Surface-Emitting Light Emitting Diode Structure with Circular 45 degree Corner Reflector and Microlens

Park, Eun-Hyun; Kim, Moon-Jung; Cha, Jung-Ho; Kwon, Young Se, JAPANESE JOURNAL OF APPLIED PHYSICS, v.40(2001), no.4B, pp.2741 - 2746, 2001-04

16
Plasma sputtering of silicon dioxide substrate by low energy Ar ion bombardment: molecular dynamics simulation

Kim, DH; Lee, SY; Kim, DoHyun, JOURNAL OF CRYSTAL GROWTH, v.237, pp.217 - 222, 2002-04

17
Porous Pd-Sn Alloy Nanotube-Based Chemiresistor for Highly Stable and Sensitive H2 Detection

Song, Lu; Ahn, Jaewan; Kim, Dong-Ha; Shin, Hamin; Kim, Il-Doo, ACS APPLIED MATERIALS & INTERFACES, v.14, no.24, pp.28378 - 28388, 2022-06

18
Reactive ion etching mechanism of copper film in chlorine-based electron cyclotron resonance plasma

Lee, SK; Chun , Soung Soon; Hwang, CY; Lee, Won-Jong, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES REVIEW PAPERS, v.36, no.1A, pp.50 - 55, 1997-01

19
Reactive ion etching mechanism of RuO2 thin films in oxygen plasma with the addition of CF4, Cl-2, and N-2

Lee, EJ; Kim, JW; Lee, Won-Jong, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES REVIEW PAPERS, v.37, no.5A, pp.2634 - 2641, 1998-05

20
Si-containing block copolymers for self-assembled nanolithography

Ross, CA; Jung, Yeon Sik; Chuang, VP; Ilievski, F; Yang, JKW; Bita, I; Thomas, EL; et al, JOURNAL OF VACUUM SCIENCE TECHNOLOGY B, v.26, no.6, pp.2489 - 2494, 2008-11

21
Study of iaboratory plasmas via particle simulation = 실험실 플라즈마의 입자시늉 연구link

Baek, Ho-Yul; 백호열; Chang, Choong-Seock; 장충석; et al, 한국과학기술원, 2009

22
Study on the growth of crack-free AlxGa1-xN (0.133 >= x > 0.1)/GaN heterostructure with low dislocation density

Cho, HK; Lee, JeongYong; Choi, SC; Yang, GM, JOURNAL OF CRYSTAL GROWTH, v.222, no.1-2, pp.104 - 109, 2001-01

23
The effects of oxygen plasma on the chemical composition and morphology of the Ru capping layer of the extreme ultraviolet mask blanks

Belau, L; Park, JeongYoung; Liang, T; Somorjai, GA, JOURNAL OF VACUUM SCIENCE TECHNOLOGY B, v.26, no.6, pp.2225 - 2229, 2008-11

24
Theoretical study of discrete particle effect in a nano-scale trench and Development of a kinetic simulation technique for a large area capacitively coupled plasma source = 나노미터 크기의 trench 내에서의 불연속 입자 효과에 대한 연구 및 대면적 CCP 플라즈마원에 대한 동역학적 전산시늉 코드 개발link

Lee, Tae-Sang; 이태상; et al, 한국과학기술원, 2008

25
Tomography-based spatial uniformity diagnostics for meter-sized plasmas

Jang, Juhyeok; Park, Sanghoo; Park, Jooyoung; Choe, Wonho, PLASMA SOURCES SCIENCE & TECHNOLOGY, v.27, no.10, pp.10LT01, 2018-10

26
Unpinned Interface Between Al2O3 Gate Dielectric Layer Grown by Atomic Layer Deposition and Chemically Treated n-In0.53Ga0.47As(001)

Shin, Byungha; Cagnon, Joel; Long, Rathnait D.; Hurley, Paul K.; Stemmer, Susanne; McIntyre, Paul C., ELECTROCHEMICAL AND SOLID STATE LETTERS, v.12, no.8, pp.40 - 43, 2009

27
새로운 Lateral reverse-etching 기술을 이용한 초고속 InP DHBT 제작 = Fabrication of high-speed InP DHBTs using a new lateral reverse-etching techniquelink

정용식; Jeong, Yong-Sik; et al, 한국과학기술원, 2003

rss_1.0 rss_2.0 atom_1.0